TW543171B - Method of forming copper interconnects - Google Patents

Method of forming copper interconnects Download PDF

Info

Publication number
TW543171B
TW543171B TW091105009A TW91105009A TW543171B TW 543171 B TW543171 B TW 543171B TW 091105009 A TW091105009 A TW 091105009A TW 91105009 A TW91105009 A TW 91105009A TW 543171 B TW543171 B TW 543171B
Authority
TW
Taiwan
Prior art keywords
copper
patent application
copper layer
item
carbon
Prior art date
Application number
TW091105009A
Other languages
English (en)
Inventor
Robin Cheung
Liang-Yuh Chen
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW543171B publication Critical patent/TW543171B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D15/00Electrolytic or electrophoretic production of coatings containing embedded materials, e.g. particles, whiskers, wires
    • C25D15/02Combined electrolytic and electrophoretic processes with charged materials
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

A7 B7 543171 五、發明説明() 梦明領域: 本發明係關於一種形成銅内連線的方法。 (請先閲讀背面之注意事項再填寫本頁) 旁明背景: 電子遷移會導致金屬膜層中相當可觀的物質移動, 且電子遷移誘發的故障為超大型積體電路(VLSI)中金屬 線最重要的故障模式中的一種。原子的方向性移動會因 為晶粒邊界,錯位(dislocation),及在金屬膜層中點缺陷 的存在而被加強。在鋁的例子中,電子遷移導致鋁在電 子流方向上的移動及累積,形成金屬線的不連續。鋁的 電子遷移阻抗可藉由添加銅至鋁膜層中,如介於約0.5% 至約1 %之間,而被改善。一般咸認,添加銅導致在鋁膜 層在組織上的改變及在鋁自我擴散的活性能量上的增 加,而這將可形成電子遷移阻抗的改善。. 作為先進的積體電路製造中的金屬内連線上銅已廣 獲睛睞。雖然與鋁比較起來其具有高許多的電子遷移阻 抗’但對提於能夠改善銅内連線的電子遷移阻抗的方法 仍存在著需求。 經濟部智慧財產局員工消費合作社印製 發明目的及概述: 本發明提供了一種形成具有改良的電子遷移特性的 銅層的方法。依據本發明的實施例,一種經過摻雜的銅 層藉由控制混合於該經過摻雜的銅層中之一非金屬摻質 的數量而被形成。在一實施例中,該非金屬掺質為碳, 第4頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 543171
五、發明說明() 及該經過摻雜的銅層是由電鍍形成的。 簡單說明: 本發明的教導可藉由閱讀以下參照了附圖之詳細說 明而被輕易地瞭解,其中: 第1圖為結合了本發明之方法步驟的實施例的一處理流 程圖; 第2圖為一適於銅電锻之設備的不意圖, 第3圖為用在電鍍上之電子連接的一示意代表圖; 第4a-4c圖為一基材在形成一金屬内連線的不同階段的 不意剖面圖; 第5a-5b圖為依據本發明的實施例形成的鋼層的示意剖 面圖; 第6圖為銅層中的銅濃度以在一電锻浴中的沉積電流密 度的函數所畫的圖表及; 第7圖為銅層中的銅濃度以在另一電鐘浴中的沉積電流 密度的函數所畫的圖表。 為了便於瞭解起見,相同的標號被用來標示圖式中 之相同的元件。 圖號對照說明: 200 整合的處理系統 202a-202d 金屬沉積室 204a-204b 清潔站 203 回火室 206 裝載站 208 機械臂 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) -訂. 經濟部智慧財產局員工消費合作社印製 543171 A7 B7 五、發明説明() 經濟部智慧財產局員工消費合作.社印製 280 控制器 282 中央處理單元(CPU) 284 支援電路 286 記憶體 288 訊號匯流排 302 電源供應器 304 電極 306 電極 308 電鍍表面 3 10 晶種層 320 控制電路 322 切換電路 450a 基材結構 402 底層 404 絕緣層 406 開口 408 阻障層 410 金屬的晶種層 412 經過摻雜的銅層 330 基材 501,: 511 第一銅層 503,: 513 第二銅層 515 經過摻雜的銅層 發明 詳細說明: 本發明的實施例提供一 镡形 成銅層的方法。 依 據 本 發明 的一個態樣,一非金屬 摻質 被混合於一銅層 中 0 在 一實 施例中,該非金屬摻質 為碳 。被混合於該銅 層 中 的 碳/的 數量可藉由在銅電鍍; 朗間1 改變處理參數來 加 以 控 制。 該碳摻質的一個來源為 存在 於一電鍍浴中的 有 機 添加 物。 第1圖為一處理流程 圖其 顯示本發明之方 法 的 步 在步驟101中,一基材被放置在一處理室, 如 一 電 鍍槽 ,中以形成銅。在步驟 103 中,一含銅的先 驅 物 及 一含 有非金屬的來源被提供 至該 電鍍槽中。 第6頁 ——丨 .........訂......... (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 543171 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() 依據本發明的一個態樣,一包含該非金屬捧質之經 過摻雜的銅層被形成於該基材上,如第步驟中所示 者。該非金屬是以一數量存在於該銅層中,該數量足以 有效地改善該銅層的電子遷移特性,而又不會造成其它 所不想要的結果或故障機制等結果。因此,含有該有效 數量的非金屬之該經過摻雜的銅層與一純的銅廣比較起 來具有更高的電子遷移阻抗。一般咸認,鋼擴散,如晶 粒邊界擴散,的活性能量在該非金屬摻質物被混合於該 銅晶界中時被提兩了。此被提南了的擴散活性能量導致 在電子遷移阻抗上的提高。 依據本發明的另一態樣’在該經過捧雜的銅層中的 非金屬的分布係藉由在在該經過摻雜的鋼的形成期間改 變處理參數來加以控制的,如步驟107所示。 在一實施例中,在該經過摻雜的銅層中之非金屬為 碳。例如,該經過摻雜的銅層藉由電鍍來形成,且碳是 藉由該電鑛浴中的一有機的成分來提供。在該經過摻雜 的銅層中之碳的數莖及分布係藉由在銅電艘期間改變電 鍍脈衝順序,如電流及時間長度。 本發明的實施例可使用各種適於形成電鍍銅層的設 備來實施。一電艘設備的例子為ELECTRA™ ECP系統, 其可以是一單獨的電鍍系統或是一整合的處理系統,如 iECPTM,的一部分這兩種系統都可從設在美國加州santa Clara市的Applied Materials公司購得。一整合的處理系 統的細節可見於2000年十月24日授予本案受讓人之美 第7頁 本紙張尺度朝巾賴家標準(CNS)A4規格(210X297公箸) " -------«Τ « ........•訂......... (請先閲讀背面之注意事項再填寫本頁) 543171 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() 包括一或多層被沉積於其上的物質層。依據元件製造的 特定階段,底下層4 0 2可包含,例如,矽,多晶石夕,二 氧化矽,銅,鎢或鋁。絕緣層404可以是氧化物層其已 藉由傳統的石版印刷及蝕刻技術而被形成圖案用以形成 一開口 4 0 6 ’如一接點,介層孔或溝槽。對於v L SI應用 而言,開口 406典型地具有一至少為4 : 1之相當高的深 寬比(深度除以寬度)。一包含了一導電物質(如鈥,氮化 欽’纽’或氣化钽)之阻障層藉由傳統的技術,如物理氣 相沉積(PVD),CVD或無電子沉積,而被形成於該絕緣 層404上及該開口 406内部。 在形成電鍍銅於開口 406的内部之前,一相當薄之 金屬的晶種層410,最好是銅,被形成於該阻障層4〇8 之上’如第4b圖所示。該導電之金屬的晶種層41〇有助 於後續銅的電鍍。在一例子中,該金屬的晶種層4丨〇具 有多達約3 000埃的厚度,如最好是約1500埃,且可使 用PVD技術,如離子化的金屬電漿(IMp)物理濺鍍或自 我離子化的電漿(SIP),來實施。該金屬的晶種層410亦 可被沉積至其它的厚度,或使用其它的沉積技術,如CVD 或無電子處理。 第4b圖的基材結構450b然後接受銅電鍍,造成一 經過摻雜的銅層412的形成,其形成一金屬内連線於該 開口 406内部,如第4c圖所示。為了要依據本發明的實 施例來實施銅電鍍,基材結構450b被連接至一在電鍍槽 内的電極,其係使用與第3圖所示的電氣連接相似。該 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ——, -----·%.........、可.........0& (請先閲讀背面之注意事項再填寫本頁) 543171 A7
五、發明説明() 經濟部智慧財產局員工消費合作社印製 經過摻雜的銅層412包含一數量的非金屬摻質,與純銅 或用傳統的技術所形成之銅層比較起來,其對於提高該 經過摻雜的銅層412的電子遷移阻抗很有效,且不會造 成其它所不想要的結果或故障機制。 本發明的實施例可使用不同的銅電鍍浴來實施。通 常,除了含有銅的電解質之外,一銅電鍍浴亦包含像是 抑制劑及促進劑其被用來控制沉積。例如,當抑制劑分 子被吸收於基材表面上時,它們會防止或降低銅沉積於 該區域上《在另一方面,促進劑與抑制劑分子競爭吸收 位置’且促進銅生長於促進劑已被吸收的區域上。 為了要實施本發明的實施例,該電鍍浴更包含了一 將被混合至該銅層中之非金屬摻質的來源。可能的非金 屬摻質包括碳及氣。該非金屬摻質的來源可以是一經常 存在於電鍵浴中的添加物,或其可被特別添加至該電鍍 浴中用以提供該非金屬摻質以混合至該被沉積的銅層 中。例如,有機的抑制劑,如聚乙二醇(PEG)類的化合物 及環氧乙烧環氧丙烧(EOPO)類表面化性劑,可作為碳來 源。在氣離子被用來活化該抑制劑的電艘浴中,氫氣酸 被用來作為提供該銅層的氣摻質的角色β然而,如果氣 摻質被使用的話,則在該經過摻雜的銅層中之氣的濃度 應被保持的夠低用以避免可能的處理問題,如腐蝕。 , 達之 序以用 順用使 衝極所 脈電據 鍍該依 Afhl ml τρπτ ZJ 的加 定施 特被 1 而 擄, 依間 流時 電續。 一 持果 , 及結 間流鍍 期電電 鍍衝的 電脈要 在積想 沉所 如到 係 序 »i 衝 脈 鍍 ipnr 該 »1 育 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 訂·
543171 A7 B7 五、發明説明() 特定電鍍浴而被使用。 碳的混合數量及在該經過摻雜的銅層中的分布可藉 由使用一適當的電鍍脈衝順序配合上電鍍浴中之處理參 數的改變以及後沉積處理,如熱回火,來加以控制。 以下舉出數個例子來顯示依據本發明的實施例之各 種應用^ 例1 在一實施例中,該電鍍浴包含硫酸銅其具有適當的 添加物如一促進劑,一抑制劑及一含氯來源用以產生氣 離子。例如,硫酸銅可以0.1 Μ至0·9M之間的濃度範圍 存在,及該電鍍浴具有範圍在約0.6至約3之間的pH值。 抑制劑及促進劑的添加係根據許多的參數,如溫度,pH 及在該電鍍浴中的氣濃度而定。一強酸(即,低pH)會讓 添加物的壽命縮短。此具有一比傳統的電鍍浴的pH值高 之電鍍浴在本文中被稱為電鍍浴A。 該等添加物包.含一促進劑X及一抑制劑Y其是由設 在美國紐約的LeaRonal(現為Shipley)公司所供應,其分 別為 Electra plate X Rev.l 及 Electra plate Y Rev.l。該 促進劑X為一硫酸基的化合物且具有一介於約5至約 200ppm的濃度。該抑制劑為環氧乙烷環氧丙烷(E〇p〇)類 的化合物且以介於約5至約400ppm的濃度存在。 被用來活化該抑制劑的氣離子係以介於約5 0至約 400ppm,最好是介於約7〇至約ι〇〇ρριη,之間的濃度存 在。該電錢浴被保持在室溫附近的溫度,如介於丨〇ΐ:至 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210Χ 297公楚) !、-•- — (請先閲讀背面之注意事項再填寫本頁) -訂·
經濟部智慧財產局員工消費合作社印製 543171 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() 2 5之間。 電鍍化學物的一詳細的說明,特別是電解質及添加 物的成分,被提供在 1 999年二月 5日提申,名稱 為 ’’Electrodeposition Chemistry for Improved Filling of Apertures”的美國專利申請案第09/245,780號中,該案藉 由此參照而被併於本文中。 以電鍍浴A而言,碳混合是較佳的,且係使用一相 對高的電流密度來進行銅電鍍。正極與貪極的電子脈衝 都在銅電鍍期間被使用。正極電子脈衝對應於沉積脈衝, 在此期間電解液中的銅離子被朝向該陰極3 06加速,使 得銅沉積在基材3 30上。負極脈充對應於解離脈衝,在 此期間已被電鍍於基材430上的銅藉由被轉變為電解液 中的銅離子而被解離。這些解離脈衝有助於藉由解離在 一開口附近之過多的銅沉積來防止在電鍍期間之氣隙的 形成。 銅離子,添加物,如抑制劑及促進劑之濃度的梯度 受到這些沉積脈衝及解離脈衝的順序及持續時間的影 響。例如,為了要在第4圖所示的一高深寬比的開口 406 内部達到一無氣隙的金屬沉積,最好是朝向開口頂端的 沉積被抑制,而朝向開口的底部或内部的電鍵被加速。 藉由使用相反極性的電子脈衝之不同的組合,銅電鍍可 達成不同的外形輪廓。又,可提供對應於無電子脈衝期 間之”暫停,,期間用以允許將某些化學物再分布於該電鍍 浴中作為一種控制沉積外形輪廓的方法。使用這些模組 第13頁 本紙張尺度適用中國國家標準(CNS)a4規格(210X297公釐) -------------- .........訂........« « Ί (請先閲讀背面之注意事項再填寫本頁) 543171 A7 __ B7 五、發明説明() 化的波形來電鍍之細節已被揭示於2000年六月22日提 申’名稱為’’Method for Electrochemical Deposition of Metal Using Modulated Waveforms”的美國專利申請案第 09/602,644號中,該案藉由此參照而被併於本文中β 一相對高的電流密度,如至少約3 0毫安培/平分公 分(mA/cm2)的電流密度,最好是至少約40 mA/cm2,可 在最初的沉積階段被使用,其可持續數百毫秒至數秒鐘 時間°或者,亦可使用在最初沉積階段使用多脈衝。例 如’這些多脈衝可包括在相對高的電流密度下之沉積脈 衝,或它們可包括沉積及解離脈衝兩者,或其不同的組 合0 在此最初的沉積階段之後,剩餘的銅沉積係使用多 沉積及解離脈衝來實施的。該等沉積及解離脈衝可如所 需地被重復許多次,且以不同的時間長度與電流密度組 合實施用以達到無氣隙的沉積。 在一第二沉積階段,金屬沉積可在一比最初階段的 電流密度低的電流密度下實施。例如,一小於約1〇 mA/cm2 ’最好是小於約5 mA/cm2,的電流密度被用於沉 積脈衝中,而一介於約-5 mA/cm2至約-40 mA/cm2,最好 是約-25 mA/cm2,的電流密度被用於解離脈衝中。沉積 及解離脈衝的時間長度可改變於數毫秒至約8〇〇毫秒之 間。當第二沉積階段的總時間長度介於約2〇至約60秒 時,一約1 000埃的銅層可被形成於開口 406的内部。一 被理解的是,此一電鍍脈衝順序僅係舉例,其它脈衝波 第u頁 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公爱) ——J — — (請先閲讀背面之注意事項再填寫本頁) -訂·
經濟部智慧財產局員工消費合作社印製 543171 A7
五、發明説明() 形的變化亦可被使用以達到所想要的電鍍外形輪爾。 立[圖 * 第5a圖顯示此一沉積順序所得到的結果的别 笼〆*銅層 其中具有可改善電子遷移之有效的碳濃度之/弟 501與最初的沉積階段被形成。在一例子中,該第銅 層501可具有一介於約10〇埃至約200埃的厚度及 乂 ^ 1 3 5 於5x1 〇畋原子/cm3,最好是介於lxl 019原子/cm 、’* 3xl019原子/ein3,的碳濃度。因此,與傳統的銅電艘處理 之少於5x1 原子/cm3的碳濃度比較起來,第一銅層501 具有較高的碳濃度。 一第二銅層接著在該包含多沉積與解離脈衝循環的 低電流沉積階段被形成於該第一銅層501之上β該第二 銅層503可視特定的應用而被沉積達任何所想要的厚 度,如至少約1000埃,厚到足以填充該開口 406。在第 二銅層503中沒有可察覺得到的碳混合。第一及第二銅 層501及503可被統稱為經過掺雜的銅層412如先前於 第4c圖中所示者。 例2 如先前所述的,用於碳混合之電鍍脈衝順序隨著所 使用之特定的電鍍浴而改變。例如,一傳統的電鍍浴可 被使用。一傳統的電鍍浴的一個例子為Cu Bath MTM,其 可從設在美國康乃迪克州的New Haven市的Enthone-OMI 公司購得。該Cu Bath Μ™包含專利的化合物,但典型地 具有一 pH值其比電鑛浴Α的酸的pH值大十倍。例如, 傳統的電鍍浴的pH值小於約0.1。本案發明人已發現, 第15頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ---------VI I 霍 (請先閲讀背面之注意事項再填寫本頁) -訂·
經濟部智慧財產局員Η消費合作社印製 543171 A7 B7 五、發明説明() 積加以解離來達成的’而暫題期間可被用來作為外形輪 廓的控制1此一實施例可應用在銅内連線的故障與故障 之間的平均時間隨著非金屬成分而單純地增加的情形 中。 例5 電流密度對於一電鍍銅層中的碳混合的影響被進— 步示於第6圖中,該圖顯示銅濃度在不同的銅層樣本中 與沉積電流密度之間的關係。該等銅層樣本每一個都具 有約8 /z m的厚度,且都是使用參照第1圖所描述之電鑛 浴A而被電鍍於矽晶圓上的。在電鍍之前,一包含約250 埃厚度的钽層及一具有2 000埃厚度的銅層的金屬晶種層 被形成於每一石夕晶圓基材上。使用於此例子中的銅層樣 本在不同的電流密度下被沉積的,如介於約2 mA/cm2至 約60 mA/cm2的範圍之内。在每一被沉積的銅層中之碳 濃度然後使用第二離子質量分光計(SIMS)來加以測量如 第6圖所示的,在電鍍銅層内的碳含量隨著電流密度的 提高而增加。例如,在約3 0 m A / c m2的電流密度下被沉 積的銅層具有約lxlO19原子/cm3的碳含量。 第6圖亦顯示存在於該銅層内之其它非金屬,如氣, 氧’ II及硫’的濃度。例如,氣濃度被發現會隨著電流 密度的提高而增加。雖然氣混合有助於提高被摻雜的銅 層的電子遷移阻抗,但氣濃度不應過高而造成所不想要 的結果’如腐姓。因此,通常氯濃度最好是被控制在約 3x1019原子/cm3以下。在第6圖的電流密度制度中,其 第19頁 本紙張尺度適用中國國家標準(CNS)A4規格(210Χ297公釐) ----V : L,----, (請先閲讀背面之注意事項再場寫本頁) 訂·
經濟部智慧財產局員工消費合作社印製 543171 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明() 它的非金屬,如硫,氧,及氮保持在相對低的凝度,且 不被認為會對該銅層造成任何所不想要的影響。通常, 最好是將這些其它的非金屬濃度保持在約3 X 1 019原子 /cm3以下。 例6 第7圖沉積電流密度對於電鍵銅層的破含量的影響 的另一圖表。使用於此例子中的基材及金屬晶種層與之 前參照第5圖描述的相似。然而,與第5圖不同的是, 銅層係使用一電鍍浴,如由Enthone-OMI公司的Cu Bath Μ™來沉積的。第7圖顯示在沉積電流密度大於約1〇 mA/cm2時,碳含量保持在一定值,如約5χ1018原子/cm3。 然而,在電流密度低於5 mA/cm2時,碳含量會隨著電流 密度降低而增加。因此,在電流密度低於3 mA/cm2時, 銅層具有一大於約lxl 〇19原子/cm3的碳含量。 已被注意到的是,氣混合與碳混合的情形相類似。 因此最好是施加一適當的電流密度使得氣混合可被控制 用以避免可能的腐蝕問題。雖然其它的非金屬濃度,如 硫,氧及氮亦會隨著電流密度低於約5mA/cm2而增加, 但它們的濃度仍保持在相當低而不會產生任何所不想要 的影響。 如第6及7圖所示的,碳含量為電流密度的一函數 對於兩電鍍浴(電鍍浴Α及Cu Bath Μ)而言是非常不同 的。一般咸認此極端不同的行為是使用於這兩種電錄洛 中之不同的化合物以及pH值所造成的結果。 第20頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再 ·% 7再填寫本 頁) 訂.

Claims (1)

  1. 543171 8 8 8 8 ABCD
    申請專利範圍 1. 一種膜層的處理方法,其至少包含: (a) 將一基材置於一電鍍浴中;及 (b) 形成一經過摻雜的銅層於該基材上,其中該、至 過摻雜的銅層包含一數量的非金屬,該數量被加以控 制用以提高在該經過摻雜的銅層中之電子邊移阻抗’ 其比一純銅層的電子遷移卩旦抗高。 2. 如申請專利範圍第1項所述之方法,其中該非金屬係 選自於由碳及氣所構成的組群中。 3.如申請專利範圍第1項所述之方法,其該非金屬為啖 且碳的數量係介於lxl〇19原子/cm3至約5xl〇19原子/cm3 之間。 (請先閱讀背面之注意事項再填寫本頁} .訂· 經濟部智慧財產局員工消費合作社印製 4.如申請專利範圍第3項所述之方法,其更包含: (c) 施加一電鍍電流於包含一碳來源之該電鍍浴的 一電極上;及 (d) 藉由在銅電鍍期間將來自於該碳來源的碳藏人 而形成該經過#雜的銅層β 5·如申請專利範圍第4項所述之方法,其中該碳來源為 一有機的抑制劑,及該電鍍浴更包含一含鋼電解質及 一促進劑。 第22頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)
    43 ABCD 經濟部智慧財產局員工消費合作社印製 申請專利範圍 6.如申請專利範圍第5項所述之方法,其中該電鍍浴具 有介於0.6至3之間的pH值。 7·如申請專利範圍第6項所述之方法,其中該電鍍浴更 包含一氣離子來源其濃度介於50PPm至40〇Ppm之間。 8.如申請專利範圍第6項所述之方法,其中該含銅電解 質為濃度介於0.1M至〇·9Μ之間的硫酸銅。 9·如申請專利範圍第6項所述之方法,其中該有機的抑 制劑在電鍍浴中具有介於5ppm至400ppm的濃度' 10·如申請專利範圍第9項所述之方法,其該有機抑制劑 為乙二醇類化合物。 11.如申請專利範圍第6項所述之方法,其中(C)更包含·· (c 1)在銅電鏡的一最初階段期間,於一夠高的電流 松度下施加該電鏡電流,及 (c2)在後續的銅電艘期間,在一低於1 〇 mA/cm2的 電流密度下將該電鍍電流施加至該電極。 12·如申請專利範圍第11項所述之方法,其中該夠高的 電流密度至少為30 mA/cm2。 第23頁 本紙張尺度顧巾酬家鮮(CNS)A4規格(21GX297缝) "" -- (請先閲讀背面之注意事項再填寫本頁)
    543171 ABCD 申請專利範圍 13.如申請專利範圍第6項所述之方法,其中(c)更包含: (c3)在銅電鍵的一最初階段期間’於一低於1〇 mA/cm2的電流密度下施加該電鑛電流;及 (c4)在後續的銅電鍍期間,在至少為3〇 mA/cm2的 電流密度下將該電鍍電流施加至該電極。 14·如申請專利範圍第13項所述之方法,其中(c)更包含: (c5)在(c4)之後將該經過摻雜的銅層於至少1〇〇。(:加 以回火。 15·如申請專利範圍第5項所述之方法,其中該含銅的電 鍍浴包含一含銅的電解質,一含碳的抑制劑及一促進 劑,及該含銅的電鍍浴具有一低於0.1的pHA。 16. 如申請專利範圍第15項所述之方法,其中(c)更包含: (c3)在銅電鍍的一最初階段期間,於一低於3mA/cm2 的電流密度下施加該電鍍電流;及 (c4)在後續的銅電鍍期間,在至少10 mA/cm2的電 流密度下將該電鍍電流施加至該電極。 17. —種形成銅的方法,其至少包含: (a) 將一基材置於一電錄浴中,該電艘浴包含一含 銅的電解質及一含碳的來源; (b) 施加一電锻電流至該電鍵浴中的一電極;及 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) Ί - ♦血 (請先閲讀背面之注意事項再填寫本頁) 訂.
    經濟部智慧財產局員工消費合作社印製 543171 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 (C)藉由將一數量的碳混入而形成一經過摻雜的銅 層,該數量被加以控制用以提高在該經過摻雜的銅層 中之電子遷移阻抗,其比一純銅層的電子遷移阻抗高。 18. 如申請專利範圍第17項所述之方法,其中在該經過 摻雜的銅層内之碳的數量係藉由改變電鍍電流來加以 .控制。 19. 如申請專利範圍第18項所述之方法,其中在該經過 摻雜的銅層内之碳的數量藉由改變在該電鍍浴中的pH 值來進一步控制。 20. 如申請專利範圍第19項所述之方法,其中在該經過 摻雜的銅層内之碳的數量藉由改變存在該電鍍浴中的 氣離子數量來進一步控制。 21. 如申請專利範圍第20項所述之方法,其中該環銅電 解質係從由氟硼酸銅,葡糖酸銅,氨基磺酸銅,焦磷 酸銅,氣化銅,氣化銅,或它們的混合物所構成的組 群中選取的。 22. 如申請專利範圍第20項所述之方法,其中該含碳來 源為一有機的抑制劑。 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) (請先閲讀背面之注意 裝: 事項再填寫本頁) -、\呑
    543171 ABCD 六、申請專利範圍 電解質為硫酸銅。 2 7.如申請專利範圍第 26項所述之方法,其中該含碳的 來源為一有機的抑制劑。 28. 如申請專利範圍第27項所述之方法,其中該電鍍浴 具有介於〇·6至3之間的pH值。 29. 如申請專利範圍第28項所述之方法,其中該第一電 鍍具有至少為30 mA/cm2的電流密度。 30. 如申請專利範圍第27項所述之方法,其中該電鍍浴 具有低於〇·1的pH值。 31. 如申請專利範圍第30項所述之方法,其中該第一電 鑛具有至少為3 mA/cm2的電流密度。 32. —種形成一銅内連線的方法,其至少包含: (a) 將一基材置於一電鍍浴中;及 (b) 藉由電鍍一經過摻雜的銅層而形成該銅内連線 於該基材上;其中該經過摻雜的銅層包含一非金屬其 具有一濃度,該濃度受到控制用以增加該銅内連線介 於故障之間的平均時間。 第27頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) -訂· 經濟部智慧財產局員工消費合作社印製 543171 ABCD 々、申請專利範圍 33. 如申請專利範圍第32項所述之方法,其中該非金屬 為碳。 34. 如申請專利範圍第33項所述之方法,其中該碳的濃 度在該經過摻雜的銅層内會改變。 35. 如申請專利範圍第34項所述之方法,其中該碳的濃 度係介於1 X 1 019原子/ c m3與S X 1 0 19原子/ c m3之間。 36. —種電腦貯存媒體,其内含有一軟體程式,當其被執 行時會讓一 一般用途的電腦藉由使用一膜層處理方法 而來控制一電鍍槽,該膜層處理方法至少包含: (a) 將一基材置於一電鍍浴中;及 (b) 形成一經過摻雜的銅層於該基材上;其中該經 過摻雜的銅層包含一數量的非金屬,該數量被加以控 制用以提高在該經過摻雜的銅層中之電子遷移阻抗, 其比一純銅層的電子遷移阻抗高。 (請先閲讀背面之注意事項再填寫本頁) ,裝.
    經濟部智慧財產局員工消費合作社印製 第28頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)
TW091105009A 2001-03-15 2002-03-15 Method of forming copper interconnects TW543171B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/810,677 US6740221B2 (en) 2001-03-15 2001-03-15 Method of forming copper interconnects

Publications (1)

Publication Number Publication Date
TW543171B true TW543171B (en) 2003-07-21

Family

ID=25204403

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091105009A TW543171B (en) 2001-03-15 2002-03-15 Method of forming copper interconnects

Country Status (3)

Country Link
US (1) US6740221B2 (zh)
TW (1) TW543171B (zh)
WO (1) WO2002075797A2 (zh)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8236159B2 (en) 1999-04-13 2012-08-07 Applied Materials Inc. Electrolytic process using cation permeable barrier
US8852417B2 (en) 1999-04-13 2014-10-07 Applied Materials, Inc. Electrolytic process using anion permeable barrier
US20060157355A1 (en) * 2000-03-21 2006-07-20 Semitool, Inc. Electrolytic process using anion permeable barrier
US7135404B2 (en) * 2002-01-10 2006-11-14 Semitool, Inc. Method for applying metal features onto barrier layers using electrochemical deposition
US20060189129A1 (en) * 2000-03-21 2006-08-24 Semitool, Inc. Method for applying metal features onto barrier layers using ion permeable barriers
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
ATE385863T1 (de) * 2000-08-18 2008-03-15 Ti Group Automotive Sys Ltd Verfahren zur plattierung eines metallbandes zur herstellung eines mehrwandigen rohrs
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
KR100499557B1 (ko) * 2001-06-11 2005-07-07 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
DE10223957B4 (de) * 2002-05-31 2006-12-21 Advanced Micro Devices, Inc., Sunnyvale Ein verbessertes Verfahren zum Elektroplattieren von Kupfer auf einer strukturierten dielektrischen Schicht
US20040217005A1 (en) * 2002-07-24 2004-11-04 Aron Rosenfeld Method for electroplating bath chemistry control
US20040134775A1 (en) * 2002-07-24 2004-07-15 Applied Materials, Inc. Electrochemical processing cell
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US7128823B2 (en) * 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
DE60336539D1 (de) * 2002-12-20 2011-05-12 Shipley Co Llc Methode zum Elektroplattieren mit Umkehrpulsstrom
US7465661B2 (en) * 2003-05-28 2008-12-16 The United States Of America As Represented By The Secretary Of The Navy High aspect ratio microelectrode arrays
US7479213B2 (en) * 2003-12-25 2009-01-20 Ebara Corporation Plating method and plating apparatus
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US20050173253A1 (en) * 2004-02-05 2005-08-11 Applied Materials, Inc. Method and apparatus for infilm defect reduction for electrochemical copper deposition
JP4178295B2 (ja) * 2004-07-14 2008-11-12 富士通マイクロエレクトロニクス株式会社 銅からなる配線を有する半導体装置及びその製造方法
DE102004039803B4 (de) * 2004-08-17 2006-12-07 Infineon Technologies Ag Verfahren zur Herstellung einer Leitbahnanordnung mit erhöhter kapazitiver Kopplung sowie zugehörige Leitbahnanordnung
TW200632147A (zh) * 2004-11-12 2006-09-16
US7189650B2 (en) * 2004-11-12 2007-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for copper film quality enhancement with two-step deposition
US7771579B2 (en) * 2004-12-03 2010-08-10 Taiwan Semiconductor Manufacturing Co. Electro chemical plating additives for improving stress and leveling effect
US7550055B2 (en) * 2005-05-31 2009-06-23 Applied Materials, Inc. Elastomer bonding of large area sputtering target
JP4589835B2 (ja) * 2005-07-13 2010-12-01 富士通セミコンダクター株式会社 半導体装置の製造方法及び半導体装置
US8721161B2 (en) * 2005-09-15 2014-05-13 Alcatel Lucent Fluid oscillations on structured surfaces
KR100651919B1 (ko) * 2005-09-29 2006-12-01 엘지전자 주식회사 녹화 속도 조절 기능을 갖는 이동통신단말기 및 이를이용한 방법
US20070178697A1 (en) * 2006-02-02 2007-08-02 Enthone Inc. Copper electrodeposition in microelectronics
US20080111237A1 (en) * 2006-11-14 2008-05-15 Texas Instruments Incorporated Semiconductor device manufactured using an electrochemical deposition process for copper interconnects
TWI341554B (en) * 2007-08-02 2011-05-01 Enthone Copper metallization of through silicon via
US7905994B2 (en) * 2007-10-03 2011-03-15 Moses Lake Industries, Inc. Substrate holder and electroplating system
US20090301894A1 (en) * 2008-06-09 2009-12-10 Carsten Ehlers Method of fabricating an integrated circuit
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US8262894B2 (en) 2009-04-30 2012-09-11 Moses Lake Industries, Inc. High speed copper plating bath
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US9816193B2 (en) * 2011-01-07 2017-11-14 Novellus Systems, Inc. Configuration and method of operation of an electrodeposition system for improved process stability and performance
KR102147003B1 (ko) * 2011-12-12 2020-08-24 노벨러스 시스템즈, 인코포레이티드 전기도금 용액 내에서의 평탄화제 농도 모니터링
US9816196B2 (en) 2012-04-27 2017-11-14 Novellus Systems, Inc. Method and apparatus for electroplating semiconductor wafer when controlling cations in electrolyte
US8772158B2 (en) 2012-07-20 2014-07-08 Globalfoundries Inc. Multi-layer barrier layer stacks for interconnect structures
US9269615B2 (en) * 2012-07-20 2016-02-23 Globalfoundries Inc. Multi-layer barrier layer for interconnect structure
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9689083B2 (en) 2013-06-14 2017-06-27 Lam Research Corporation TSV bath evaluation using field versus feature contrast
CN103839604A (zh) * 2014-02-26 2014-06-04 京东方科技集团股份有限公司 导电膜及其制备方法、阵列基板
US10094038B2 (en) 2015-04-13 2018-10-09 Lam Research Corporation Monitoring electrolytes during electroplating
US9870995B2 (en) * 2015-06-18 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of copper layer structure with self anneal strain improvement
KR102149794B1 (ko) * 2018-11-26 2020-08-31 삼성전기주식회사 인쇄회로기판 및 그 제조방법
JP2022029308A (ja) * 2020-08-04 2022-02-17 新光電気工業株式会社 配線基板及び配線基板の製造方法

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1051927A (zh) 1964-07-10
CH629542A5 (de) 1976-09-01 1982-04-30 Inoue Japax Res Verfahren und vorrichtung zur galvanischen materialablagerung.
US4187166A (en) 1979-01-22 1980-02-05 Gould Inc. Method of removing copper ions from a bath containing same
US4356067A (en) 1979-06-13 1982-10-26 Electrochemical Products, Inc. Alkaline plating baths and electroplating process
US4389286A (en) 1980-07-17 1983-06-21 Electrochemical Products, Inc. Alkaline plating baths and electroplating process
GB2084191A (en) 1980-09-23 1982-04-07 Vandervell Products Ltd Electro-deposition of alloys
US4396467A (en) 1980-10-27 1983-08-02 General Electric Company Periodic reverse current pulsing to form uniformly sized feed through conductors
US4466864A (en) 1983-12-16 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for electroplating preselected surface regions of electrical articles
US4869971A (en) 1986-05-22 1989-09-26 Nee Chin Cheng Multilayer pulsed-current electrodeposition process
US4789437A (en) 1986-07-11 1988-12-06 University Of Hong Kong Pulse electroplating process
US4855016A (en) 1987-07-16 1989-08-08 Texas Instruments Incorporated Method for etching aluminum film doped with copper
JPS6450902A (en) 1987-08-21 1989-02-27 Daiwa Electron Kk Discriminating method and discriminating apparatus of direction of parts in parts feeder
US5084356A (en) 1990-04-20 1992-01-28 E. I. Du Pont De Nemours And Company Film coated with glass barrier layer with metal dopant
US5418002A (en) 1990-12-24 1995-05-23 Harris Corporation Direct bonding of copper to aluminum nitride substrates
US5141602A (en) 1991-06-18 1992-08-25 International Business Machines Corporation High-productivity method and apparatus for making customized interconnections
JP2525521B2 (ja) 1991-06-25 1996-08-21 日本リーロナール株式会社 無電解スズ―鉛合金めっき浴
WO1993013549A1 (en) 1991-12-20 1993-07-08 Vlsi Technology, Inc. Integrated circuit contact barrier formation with ion implant
JP2875680B2 (ja) 1992-03-17 1999-03-31 株式会社東芝 基材表面の微小孔又は微細凹みの充填又は被覆方法
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
DE69637333T2 (de) 1995-06-27 2008-10-02 International Business Machines Corp. Kupferlegierungen für Chipverbindungen und Herstellungsverfahren
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US6077571A (en) 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
US6268291B1 (en) 1995-12-29 2001-07-31 International Business Machines Corporation Method for forming electromigration-resistant structures by doping
US5833920A (en) 1996-02-20 1998-11-10 Mitsubishi Denki Kabushiki Kaisha Copper alloy for electronic parts, lead-frame, semiconductor device and connector
US6358388B1 (en) 1996-07-15 2002-03-19 Semitool, Inc. Plating system workpiece support having workpiece-engaging electrodes with distal contact-part and dielectric cover
US6099712A (en) 1997-09-30 2000-08-08 Semitool, Inc. Semiconductor plating bowl and method using anode shield
US5980706A (en) 1996-07-15 1999-11-09 Semitool, Inc. Electrode semiconductor workpiece holder
US6251249B1 (en) 1996-09-20 2001-06-26 Atofina Chemicals, Inc. Precious metal deposition composition and process
AU1330897A (en) 1996-12-16 1998-07-15 International Business Machines Corporation Electroplated interconnection structures on integrated circuit chips
US5882425A (en) 1997-01-23 1999-03-16 Semitool, Inc. Composition and method for passivation of a metallization layer of a semiconductor circuit after metallization etching
US5911113A (en) 1997-03-18 1999-06-08 Applied Materials, Inc. Silicon-doped titanium wetting layer for aluminum plug
US6387805B2 (en) 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
US5882498A (en) 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
SG68083A1 (en) 1997-10-30 1999-10-19 Sung Soo Moon Tin alloy plating compositions
JPH11189894A (ja) 1997-12-24 1999-07-13 Murata Mfg Co Ltd Sn合金メッキ皮膜、電子部品およびチップ型セラミック電子部品
TW350133B (en) 1998-02-06 1999-01-11 United Microelectronics Corp Method of formation of on-line in copper
US6376374B1 (en) 1998-05-12 2002-04-23 Semitool, Inc. Process and manufacturing tool architecture for use in the manufacturing of one or more protected metallization structures on a workpiece
US6331490B1 (en) 1998-03-13 2001-12-18 Semitool, Inc. Process for etching thin-film layers of a workpiece used to form microelectric circuits or components
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
TW593731B (en) 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6130156A (en) 1998-04-01 2000-10-10 Texas Instruments Incorporated Variable doping of metal plugs for enhanced reliability
US5985048A (en) 1998-04-07 1999-11-16 Semitool, Inc. Method for developing an enhanced oxide coating on a component formed from stainless steel or nickel alloy steel
US6120641A (en) 1998-05-12 2000-09-19 Semitool, Inc. Process architecture and manufacturing tool sets employing hard mask patterning for use in the manufacture of one or more metallization levels on a workpiece
US6143126A (en) 1998-05-12 2000-11-07 Semitool, Inc. Process and manufacturing tool architecture for use in the manufacture of one or more metallization levels on an integrated circuit
EP1112125B1 (en) 1998-06-30 2006-01-25 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6303505B1 (en) 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
US6245672B1 (en) 1998-10-23 2001-06-12 Texas Instruments Incorporated Method of forming diffusion barriers for copper metallization in integrated cirucits
KR100385042B1 (ko) 1998-12-03 2003-06-18 인터내셔널 비지네스 머신즈 코포레이션 내 일렉트로 마이그레이션의 구조물을 도핑으로 형성하는 방법
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6261426B1 (en) 1999-01-22 2001-07-17 International Business Machines Corporation Method and apparatus for enhancing the uniformity of electrodeposition or electroetching
US6267863B1 (en) 1999-02-05 2001-07-31 Lucent Technologies Inc. Electroplating solution for electroplating lead and lead/tin alloys
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6183619B1 (en) 1999-03-19 2001-02-06 Technic, Inc. Metal alloy sulfonic acid electroplating baths
US6368475B1 (en) 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US6346470B1 (en) 1999-04-19 2002-02-12 Advanced Micro Devices, Inc. Method for reducing electromigration in semiconductor interconnect lines
US6297155B1 (en) * 1999-05-03 2001-10-02 Motorola Inc. Method for forming a copper layer over a semiconductor wafer
US6110817A (en) 1999-08-19 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for improvement of electromigration of copper by carbon doping
US6303498B1 (en) 1999-08-20 2001-10-16 Taiwan Semiconductor Manufacturing Company Method for preventing seed layer oxidation for high aspect gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
EP1091023A3 (en) 1999-10-08 2003-05-14 Shipley Company LLC Alloy composition and plating method
US6344281B1 (en) 1999-10-18 2002-02-05 Cypress Semiconductor Corporation Aluminum metallization method and product
JP2001172791A (ja) 1999-12-16 2001-06-26 Ishihara Chem Co Ltd スズ−銅系合金メッキ浴、並びに当該メッキ浴によりスズ−銅系合金皮膜を形成した電子部品
JP3670186B2 (ja) 2000-01-28 2005-07-13 三井金属鉱業株式会社 プリント配線板用表面処理銅箔の製造方法
US6491806B1 (en) * 2000-04-27 2002-12-10 Intel Corporation Electroplating bath composition
US6358848B1 (en) 2000-11-30 2002-03-19 Advanced Micro Devices, Inc. Method of reducing electromigration in copper lines by forming an interim layer of calcium-doped copper seed layer in a chemical solution and semiconductor device thereby formed

Also Published As

Publication number Publication date
WO2002075797A3 (en) 2003-03-20
US6740221B2 (en) 2004-05-25
WO2002075797A2 (en) 2002-09-26
US20020130046A1 (en) 2002-09-19

Similar Documents

Publication Publication Date Title
TW543171B (en) Method of forming copper interconnects
Reid Copper electrodeposition: principles and recent progress
JP5203602B2 (ja) 銅でないメッキ可能層の上への銅の直接電気メッキのための方法
US6962873B1 (en) Nitridation of electrolessly deposited cobalt
TW527666B (en) Electroless method of seed layer deposition, repair, and fabrication of Cu interconnects
JP5346215B2 (ja) 半導体デバイスの製造において直接銅めっきし、かつ充填して相互配線を形成するための方法及び組成物
US8513124B1 (en) Copper electroplating process for uniform across wafer deposition and void free filling on semi-noble metal coated wafers
US20060283716A1 (en) Method of direct plating of copper on a ruthenium alloy
US20210222314A1 (en) Cobalt Filling of Interconnects in Microelectronics
US20120175776A1 (en) Electroless cu plating for enhanced self-forming barrier layers
JP2007528932A (ja) バリヤ金属上に直接銅めっきするマルチステップ電着法
US10011914B2 (en) Copper electrodeposition bath containing an electrochemically inert cation
JP7177132B2 (ja) 相互接続のコバルト充填
Gau et al. Copper electroplating for future ultralarge scale integration interconnection
US20020112964A1 (en) Process window for gap-fill on very high aspect ratio structures using additives in low acid copper baths
US7442267B1 (en) Anneal of ruthenium seed layer to improve copper plating
TWI230208B (en) Electroplating solution for copper electroplating
TW201602424A (zh) 用於在反應性金屬膜上電化學沉積金屬的方法(二)
TWI276151B (en) Method and apparatus for electrochemical plating semiconductor wafers
US20130213816A1 (en) Incorporating High-Purity Copper Deposit As Smoothing Step After Direct On-Barrier Plating To Improve Quality Of Deposited Nucleation Metal In Microscale Features
US20040178058A1 (en) Electro-chemical deposition apparatus and method of preventing cavities in an ECD copper film
JP7244533B2 (ja) コバルト電着プロセス
US20230282485A1 (en) Electrolyte and Deposition of a Copper Barrier Layer in a Damascene Process
KR20010049766A (ko) 고 종횡비 구조물의 전기화학적 증착을 위한 프로세스 창
KR100752504B1 (ko) 무전해 도금을 이용한 패턴 내 금속배선 형성방법

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees