TW514989B - Carbonitride coated component of semiconductor processing equipment and method of manufacture thereof - Google Patents

Carbonitride coated component of semiconductor processing equipment and method of manufacture thereof Download PDF

Info

Publication number
TW514989B
TW514989B TW090129824A TW90129824A TW514989B TW 514989 B TW514989 B TW 514989B TW 090129824 A TW090129824 A TW 090129824A TW 90129824 A TW90129824 A TW 90129824A TW 514989 B TW514989 B TW 514989B
Authority
TW
Taiwan
Prior art keywords
coating
carbonitride
component
patent application
scope
Prior art date
Application number
TW090129824A
Other languages
English (en)
Inventor
Robert J O'donnell
Christopher C Chang
John E Daugherty
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW514989B publication Critical patent/TW514989B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/02Apparatus characterised by being constructed of material selected for its chemically-resistant properties
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00049Controlling or regulating processes
    • B01J2219/00171Controlling or regulating processes controlling the density
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/02Apparatus characterised by their chemically-resistant properties
    • B01J2219/0204Apparatus characterised by their chemically-resistant properties comprising coatings on the surfaces in direct contact with the reactive components
    • B01J2219/0218Apparatus characterised by their chemically-resistant properties comprising coatings on the surfaces in direct contact with the reactive components of ceramic

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

發明背景 領域 本發明有關半導體製程設備與改善此種組件抗腐蝕性的 方法。 jp關技術描诚 在半導體製程領域中,真空處理室通常用以蝕刻以及將 材料化學氣相沈積(CVD)在基板上,其係將一種蝕刻或沈積 氣體供應至該處理室中,並對該氣體施加RJ7場,將該氣體 激勵成電漿狀態。平行板、變壓器耦合電漿(TCpTM)(亦稱為 電感輕合電漿(ICP))以及電子-迴旋加速器共振(ECR)反應器 與其組件之實例揭示於美國專利第4,34〇,462號;4,948,458 號;5,200,232號與5,820,723號。因為此等反應器中之電漿 % 土兄的腐姓性質以及最小化粒子及/或重金屬污染的需求, 所以非常需要顯示高抗腐蝕性的設備組件。 處理半導體基板期間,通常以基板夾架(諸如機械性夾鉗 與靜電夾射(ESC)將該基板固定在真空室内。此等夾甜系統 與其組件實例詳見美國專利第5,262,029但不局限於與 5,838,529號。可藉由氣體噴嘴、氣體環、氣體分佈板等各 種方式’將處理氣體供應到該室内。電感轉合電聚反應器 與其組件的溫度控制氣體分佈板實例可詳見美國專利第 5,863,376號。除了該電聚室設備之外,用以處理半導體基 板的其他設備包括輸送機制、氣體供應系統、襯裡、提升 機構、承載室、門機構、機械手臂、固定器等等。對此種 設備的組件進行伴隨半導體處理發生的各種腐蝕條件。此 -4- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) M4989 A7
外’有鑑於處理半導體基板(諸如矽晶圓)與介電材料(諸如 作為平面顯示器玻璃基板)的高純度需求,此種環境中非常 需要具有經改良抗腐蝕性的組件。 通常使用鋁與鋁合金作為壁、電極、基板支座、固定器 與電襞反應器其他組件。為了避免此等金屬組件腐蝕,已 提出各種技術,在該鋁表面上塗覆各種塗層。例如,美國 專利第5,641,3 75號揭示’ |呂室壁經過陽極化處理,以減少 電漿腐蝕並磨穿該壁。該‘375專利陳述,該經陽極化層最 後賤錢或蝕刻,而且必須替換該室。美國專利第5,895,586 號陳述可在日本專利申請案特許公開公報第62_1〇3379中發 現一種在鋁材料上形成Al2〇3、A1C、TiN、TiC、A1N等抗 腐钱性膜的技術。 美國專利第5,680,013號陳述,於美國專利第4,491,496號 中揭示一種在蝕刻室金屬表面上焰噴Ai2〇3之技術。該‘〇13 專利指出’因為該塗層於腐蝕環境中熱循環而且最終破裂 之故’所以介於鋁與陶瓷塗層(諸如氧化物鋁)之間的熱膨脹 係數差異導致該塗層龜裂。為了保護該室壁,美國專利第 5,366,585號;5,798,016號與5,885,356號提出襯裡配置。例 如’該016專利揭示一種陶瓷、銘、鋼及/或石英之襯裡, 因為機械加工性之故,以鋁為佳,而且具有一層氧化鋁、 Sc2〇3或Y2〇3 ’以A12〇3塗覆鋁為佳,以保護該鋁免受電漿 侵#。該‘585專利揭示獨立式陶瓷襯裡,其厚度至少〇 〇〇5 英对而且係自固態氧化鋁加工製成。該‘585專利亦提及使 用陶变層’其係不損耗下層鋁所沈積而成,可藉由焰噴或
-------B7 五、發明説明(3—) ' ' ~'~- 電漿噴淋鋁的氧化物所提供。該‘356專利揭示一種氧化鋁 之陶曼襯裡與該晶圓基座之氮化鋁的陶瓷屏蔽。美國專利 第5,885,356號揭示用於CVD室的陶瓷襯裡材料。 已提出各種塗層用於半導體製程設備的金屬組件。例 如,美國專利第5,879,523號揭示一種濺鍍室,其中將Al2〇 之熱噴淋塗層塗覆於一金屬上,諸如不鏽鋼或鋁,其間具 有一層選擇性ΝιΑ1χ—合塗層。美國專利5,522,932號與 5,891,53揭示一種铑塗層,其用於電漿處理基板用之裝置的 金屬組件,其間具有一層選擇性鎳塗層。美國專利第 5,680,0 13號揭示用於電漿處理室之金屬表面的非黏合陶瓷 保護,較佳陶瓷材料係經燒結A1N,而較不佳材料包括氧化 銘、氟化鎂與氧化鎂。美國專利第5,904,778號揭示一種在 作為室壁、室頂或該晶圓周圍凸緣之獨立式sic上的cvd塗 層。 至於諸如噴灑頭氣體分佈系統等電漿反應器組件,已提 出各種與該喷灑頭材料有關的提案。例如,美國專利第 5,569,356號揭示石夕、石墨或碳化石夕之噴灑頭。美國專利第 5,494,713號揭示在一链電極上形成防餘铭膜,並在該防餘 链膜上形成矽塗膜,諸如氧化矽或氮化矽。該‘713專利指 出’該石夕塗膜的厚度必須為1 〇 A m以下,約5 /z m為佳,因為 該鋁塗膜、該防姓鋁塗膜與該矽塗膜具有不同線性膨脹係 數,而且當該矽塗膜太厚時很容易產生龜裂。不過,報告 指出,因為低於5 //m之厚度對於該鋁基板的保護不足,所 以此種厚度不佳。美國專利4,534,5 16號揭示不鏽鋼、鋁、 -6- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公爱) 514989
發明説明 銅等之上面噴灑頭電極。美國專利第4,612,077號揭示鎂之 嘴灑頭電極。美國專利第5,888,9〇7號揭示非晶相碳、siC或 A1之喷灑頭電極。美國專利第5,006,220號與5,〇22,979號揭 不完全由SiC或是藉由CVD澱積而塗覆有SiC,以提供高純 度SiC表層之碳基底的噴灑頭電極。 ,、有鑑於半導體製程設備組件對於高純度與抗腐蝕性的需 求,本技藝中需要改善用於此種組件之材料及/或塗層。此 外,至於該室材料,可以增加該電漿反應室使用期限,因 此減少該設備閒置時間的任何材料,均有利於減少處理該 半導體晶圓的成本。 根據本發明第一實施樣態,提出一種在一半導體製程設 倩表面上提供含抗腐純礙氮化物塗層之方法。該方法包 括在-處理設備組件表面上沈積含碳氮化物塗層,以形成 抗腐钱性外表面。抗腐純表面意謂著—層可以保護下層 填料免受電聚室氣體靠效應的表面塗層,同時該塗層^ 以抵抗該電漿室氣體的侵蝕。欲塗覆之處理設備組件的下 表面包括金屬、陶曼或聚合物材料。事實上,該半導體製 程設備表面與該含魏化物塗層之間可使用—❹層中間 金屬'陶瓷或聚合物塗層。可塗覆之金屬表面包括;漿室 中使用的經陽極化或未經陽極化紹、不鑛鋼、耐火金屬, 諸如彭或其他金屬或合金。可塗覆之陶曼表面化 铭、3心應、邮4、此或其他與電装相容陶曼材料。可 塗覆之聚合物表面包括含氟聚合物,諸如加。
514989 A7 - —____B7 五、务^明(5 ) ' 胺類,諸如Vespel®與其他可用於溫度最高達2〇〇°C之電聚 至中的其他聚合材料。 根據本發明第二實施樣態,提出一種金屬組件。該組件 包括:(a)—金屬表面;(b)位於該金屬表面上之一選擇第一 中間塗層;(c)位於該第一中間塗層或是該金屬表面上的選 擇性第二中間塗層;以及位於該組件上之含碳氮化物塗 層’其提供抗腐钱性外表面。該第一與第二中間塗層各為 金屬或其合金、陶瓷、聚合物或是用於電漿室反應器之材 料的混合物或複合物。 根據本發明另一實施樣態,提出一種半導體製程設備組 件,其係由含碳氮化物材料製得。該組件可包括用於此種 設備中之任何一或多層塗層。 _!式簡要說明 由下列較佳具體實施例之詳細描述以及附圖,可以更明 白本發明之目的與優點,此等圖式中: 圖1係一電漿反應室的橫剖面示意圖,該電漿反應室具有 一塗覆本發明抗腐姓性塗層的組件。 圖2顯不圖1的細部A之抗腐蝕性塗層的細節。 具體實施例詳細說明 本發明提出一種有效方式,藉由使用一種抗腐蝕性塗 層,使半導體製程設備的組件(諸如電漿處理反應室)之金 屬、陶瓷與聚合物表面具有抗腐蝕性。Itb等組件包括室 壁、基板支座、氣體分佈系統,包括噴灑頭、擋板、環、 喷嘴等等、固定器、加熱元件、電裝屏、襯裡、輸送模組 -8 -
A7 B7 6 五、發明説明( 、’且件,諸如機械手臂、固定器、内外室壁等等。 雖然本發明適用於具有金屬、陶竟或聚合物表面的任何 種類組件,但是為求說明簡易起見,本發明參考美國專利 第5,820’723號中所描述的設備詳細說明,該專利全文係以 提及的方式併入本文。 圖1說明一真空處理反應室1〇,其包括一基板夾架70,其 7基板60提供靜電夾鉗力,以及以氦回冷彼時,對該基板 提供RF偏壓°聚焦環72將電漿局限在該基板上方區域。用 以保持該至中咼密度(例如1〇11_1〇12離子/cm3)電漿的能量來 源(諸如由適當RF來源提供動力的天線4 部。該室包括適用之真空㈣裝置,㈣位於該二中頁 央處之真空口 20抽真空該室,使該室内部3〇保持所需壓力 (例如,低於50毫托耳,通常為1-2〇毫托耳)。 位於天線40與處理室1〇内部之間的厚度均勻且實質上平 面的介電質窗口 50,形成處理室1〇頂部之真空壁。氣體分 佈板52位於窗口 5〇下方,而且包括開口,諸如自氣體來源 將處理氣體輸送到室1〇的圓形孔。錐形襯裡自氣體分佈 板延伸出,並環繞基板夾架7〇。 於操作時,半導體基板(諸如石夕晶圓6〇)位於基板夾架 上,而且使用氦回冷時,通常以靜電夾鉗74固定。然後, 使該處理氣體通過介於窗口 5〇與氣體分佈板52之間的縫 隙’將處理氣體供應到該λ空處理室1〇。適料氣體分佈 板配置(即,喷灑頭)揭示於美國專利申請案序號第 〇8/509,G8G 號;G8/658,258 號;以及〇8/658 259號,此等專 -9- 本紙張尺度適财@ g家料(CNS) A4規格(寧撕公爱了 514989 五、發明説明(7 利揭示係以提及的方式併入本文。例如,雖然,之窗口與 氣體分佈板配置係平面而且均句厚度,不過可使用非平面 及/或不均句厚度幾何形狀作為該窗口及/或氣體分佈板。對 天線40供應適當RF電力,在該基板與該窗口之間的空間引 發高密度電漿。 暴露於電漿之下,而且顯示出腐蝕徵兆之室壁28(諸如經 陽極化或未經陽極化鋁壁)與金屬、陶瓷或聚合物組件,諸 如基板夾架70、固定器56、襯裡54等等,係本發明塗覆作 用的候選對象,如此操作該電漿室期間可以避免遮蔽彼的 需求。可被塗覆之金屬及/或合金實例包括經陽極化或未經 陽極化鋁與其合金、不鏽鋼、耐火金屬,諸如”與馗〇及: 合金、銅及其合金等。可被塗覆之陶竟表面實例包括氧;匕 鋁、SiC、AIN、SisN4與BC。可被塗覆之市售聚合物材料實 例包括含氟聚合物,諸如Teflon®、聚醯亞胺,諸如 VespeP,以及可用於溫度最高達2〇(rc之電漿室的其他聚 合材料。在較佳具體實施例中,欲塗覆組件係具有經陽極 化或未經陽極化鋁表面29的室壁28。本發明之塗覆作用可 以使用鋁合金,而且不管其組成(如此,除了高純度鋁之 外,還可以使用更為經濟的鋁合金)、顆粒結構或表=狀態 為何。在下列討論中,塗覆的組件實例係一鋁室壁28,^ 具有第一選擇性中間塗層80、第二選擇性中間塗層9〇與令; 碳氮化物塗層100,如圖2所示。 為了確保該經塗覆材料具有良好黏著力,於塗覆之前徹 底清潔該鋁基板28表面,以去除表面材料諸如氧化物或油 本紙張尺度s时標準(CNS) A4規格(21Gx 297公釐)— -10- A7 B7 五、發明説明(8 二^ ί外’右特別Μ粗糙化該基板表面,則先陽極化 二m面,亚於塗覆任何—層所需塗層之前,再次粗糙 化該經陽極化基板表面。 ^ 根據本發明,可以羽u 咕 」以I用技術在該鋁侧壁28上選擇性塗霜 中間塗層8〇。該選擇性第一中間塗層80的厚度足以黏 ,在該基板上,而且得以在形成選擇二中間塗; 疋下述含碳氮化物塗層之前進—步處理彼。第—中間塗層 8〇可具有任何適用厚度,諸如至少約G.GG1英忖厚,自二 0·001至約〇.25英吋為佳,介於0.001與0.1英吋更佳,自 0.001英吋至0 05英吋最佳。 將該選擇性第―中間塗層8G塗覆於銘基板28之後,可以 4何適用技術噴砂或粗糙化該板,然後外塗第二選擇性中 間塗層90或合碳氮化物塗層1〇〇。粗糙化層8〇提供特別良好 的黏合力。該第二中間塗層9〇使塗層8〇具有高度機械性壓 製強度,並使塗層90中裂縫形成作用最少化為佳。 該選擇性第二中間塗層9 〇的厚度足以黏附在第一中間塗 層80上,而且得以在形成任何選擇性額外中間塗層或是下 述外側含碳氮化物塗層100之前進一步處理彼。該第二中間 塗層90可具有任何適用厚度,諸如至少約〇 〇〇1英吋厚,自 約0.001至約0.25英吋為佳,介於〇 〇〇1與〇1英吋更佳,自 0.001英吋至0.05英吋最佳。 該第一與第二中間塗層可由習用電漿處理室中所使用的 任何一或多種材料製得。此等材料的實例包括金屬、陶瓷 與聚合物。特佳材料包括耐火金屬、包含此等金屬的複合 -11 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) A7 B7 五、發明説明(9 物或合金之一或多者。特別是,較佳陶瓷包括Αία、 、Si3N4、BC、趟、Τι〇2等。特別是,所需聚合物包括 各鼠聚合物,諸如Teflon®、聚酿亞胺,諸如⑧以及適 用於溫度最高達200t:之電漿室的其他聚合材料。欲作為該 2間層的特定材料包括含富勒烯(fullerene)材料;其他硬質 含碳材料,諸如金剛石與類金剛石材料;例如給、鈕、鈦 及/或矽之碳化物、硼化物、氮化物及,或碳氮化物;硼碳化 物;硕氮化物;硼碳氮化物;氧化錯;氧化纪或上述材料 任何一者的混合物。 希望選擇性之第一與第二中間塗層8〇與9〇為上述材料任 何一者,如此視所需性質而定,該塗層可相同或不同。亦 預期使用額外中間塗層,諸如相同或不同材料的第三、第 四或第五中間塗層。 含碳氮化物塗層100係沈積在選擇性第二中間塗層9〇,或 選擇性第一中間塗層80,或該鋁基板28上。該含碳氮化物 塗層的厚度至少需要約0·001英吋,自約〇 〇〇1至約〇 25英吋 為佳,介於0.001與〇.;1英吋更佳,自〇〇〇1英吋至〇〇5英吋最 佳。可以選擇該含碳氮化物塗層1〇〇的厚度,使之與該反應 器中進行的電漿環境(例如蝕刻、CVD等等)相容。該含碳氮 化物塗層可塗覆在上述反應室與組件的整體或一部分上。 此處之含碳氮化物塗層意指一種包含碳與氮分子二者之 化合物,而且形成保護性抗腐蝕塗層的塗層。該含碳氮化 物塗層包含一種金屬碳氮化物化合物為佳,其中該金屬係 週期表的IIB、ΠΙΒ、IVB、VB、VIB或VIIB族金屬、類金
屬或過渡金屬為佳。該含碳氮化物塗層係鈦、銓、釩、 鍅、矽或硼之碳氮化物化合物更佳。最佳化合物係氮化 碳、碳氮化硼、碳氮化矽、碳氮化锆與碳氮化鈦。 或者,本發明之含碳氮化物塗層可為上述碳氮化物任一 者與形成硬質抗腐蝕性表面之其他化合物的複合物。該碳 氮化物在此等複合物中形成連續基質相為佳。該複合物可 包括其他保護性材料,其數量至多約為總複合物的50百分 比或以上。以本發明複合物為基準,該複合物包括介於約i 至40重買百分比其他材料更佳,介於約i與重量百分比其 他材料更佳,介於約1與1〇重量百分比其他材料更佳。此等 材料包括一或多種用於電漿處理室的材料。此等材料包括 一或多種耐火性金屬、包含此等金屬的複合物或合金。特 佳之陶瓷包括 Al2〇3、Sic、Si3N4、BC、AIN、Ti02 等。特 佳之聚合物包括含氟聚合物,諸如Tefl〇n®、聚醯亞胺,諸 如Vespel®以及適用於溫度最高達2〇(rc之電漿室的其他聚 合材料。一般認為最佳材料可以包括該碳氮化物單獨一 者,或是結合例如铪 '鈕、鈦及/或矽之硼化物、氮化物 及/或碳氮化物;硼碳化物;硼氮化物;硼碳氮化物;氧化 錯;氧化紀或上述材料的混合物。 可以習用塗覆技術將本發明之含碳氮化物塗層1〇〇沈積於 所需表面上’此等技術係例如熱噴淋、電漿喷淋、化學氣 相沈積、昇華、雷射汽化、濺鍍、濺鍍沈積、離子束塗 覆、噴霧塗覆、浸潰塗覆、蒸發、滾塗、刷塗等。使用含 碳氣化物塗層之塗覆方法實例描述於例如美國專利第 -13- 本紙張尺度適用中s S家標準(CNS) A4規格(21GX297公董) 514989 A7 B7 五、發明説明(11 ) 4,196,233 號;4,714,660 號;4,871,401 號;5,135,801 號; 5,156,725 號;5,232,522 號;5,417,952 號;5,725,913 號; 5,776,588號;與Re 35,785號,其組合物與方法係以提及的 方式併入本文。亦希望使用任何適當技術將具有或不具其 他材料的多層含碳氮化物塗層沈積於所需表面上。 在本發明其他實施樣態中,提出一種由含碳氮化物材料 製得之半導體製程設備組件。該組件可包括一或多層習用 於此種設備之塗層。 猎由使用本發明之含碳氮化物塗層或組件,有利於製得 超硬抗腐餘性表面。此種塗層或組件必須不含會與處理室 氣體反應的材料,而且具有化學惰性,如此粒子污染程度 低或無、腐蝕情況很少或無、金屬污染情況很少或無,及/ 或揮發性蝕刻產物很少或無。 該含碳氮化物塗層或組件可置於會暴露或不暴露在該電 漿環境下之區域(諸如與該電漿直接接觸的零件或是位於室 組件後的零件’諸如襯㈣)為佳,避免該反應器内處理的 半導體基板文到金屬染。限制或排除過渡金屬粉塵尤 佳;例如週期表中之元素21至29(銳至銅)、39至4 或多者。如此 钱所產生的此種粉塵 成不當針孔。 銀)57至79(鋼至金)與自89(釣)起之所有習知元素中— A ~ 根據本發明優點夕 ^ 優,之―,稭由抑制侵ϋ或腐 形 可以減少不當蝕刻或該澱積膜中 雖然已參考特定具體實施例說明本 > 藝者將明白在不偏離附錄主張權項範 疋熟悉本技 種變化與改良,以及使用其同等物。 了可以製得各 本緣尺度適 A4^(21〇X297^f) -14-

Claims (1)

  1. 六、申請專利範圍 1· 一種塗覆半導體製程設備組件表面之方法,該方法包 括: ⑷選擇性沈積第-中間塗層在半導體製程設備組件表 面上; ⑻選擇性沈積第二中間塗層在該第—中間塗層或該表 面上;以及 人 (e)沈積含碳氮化物塗層在該組件上,形成外部抗腐钱 性表面。 2. 根據申請專利範圍第!項之方法,其中該半導體製程設 備組件之表面包括金屬、陶瓷或聚合物表面。 又 3. 根據申請專利範圍第2項之方法,其中該第一中間塗層 並非選擇性。 S 4. 根據申請專利範圍第3項之方法,其中該第一中間塗層 包括金屬、陶瓷或聚合物塗層。 5. 根據申請專利範圍第1項之方法,其中該組件包括電漿 蝕刻室之室壁。 6. 根據申請專利範圍第!項之方&,另外包括在該組件上 形成粗糖化表面,在該粗糙化表面上沈積該含富勒稀 (fullerene)塗層。 7·根據申請專利範圍第1項之方法,丨中該含碳氣化物塗 層包括種包含至少-種元素之化合物,該元素係選自 週期表 IIB、IIIB、IVB、VB、VIB 或 VIIB族元素。 8·根據申請專利範圍第!項之方法,其中該含碳氣化物塗 層中的碳氮化物包括碳氮化硼、碳氮化矽、碳氮化鍅或 514989 A8 B8 C8
    9. 10. 11. 12. 碳氮化鈦。 根據申請專利範圍第8項 ^ ^ 矛項之方法,其中該含碳氮化物塗 層中的碳氮化物包括碳氮化鈦。 根據申請專利範圍第1項 a ^ 示唄之方法,其中該含碳氮化物塗 層係沈積至厚度自約0·001至約〇 〇5〇英吋。 根據申請專利範圍第β之方法,其中該含碳敗化物塗 層係藉由化學氣相沈積、電聚喷塗覆、昇華、雷射汽 化、=鑛、濺鑛沈積、離子束塗覆、噴霧塗覆、浸潰塗 覆蒸發塗覆、滾塗、刷塗所沈積。 一種半導體製程設備之組件,包括··(a)表面; (b) 選擇性第一中間塗層,其位於該表面上; (c) 選擇性第二中間塗層,其位於該第一中間塗層或該 表面上;以及 ⑷含碳氮化物塗層’其位於該組件上,形成外部抗腐 蝕性表面。 i3•根據申請專利範圍第12項之組件,其中該表面⑷係金 屬、陶瓷或聚合物表面。 14.根據申明專利範圍第項之組件,其中該第一中間塗層 並非選擇性。 15·根據申請專利範圍第14項之組件,其中該組件包括一電 漿蝕刻室之室壁。 16·根據申請專利範圍第12項之組件,其中該碳氮化物塗層 G括種包含至少一種元素之化合物,該元素係選自週 -16 -
    裝 訂
    514989
    A8 B8 ___g8e 六、申請專利範圍 期表 IIB、IIIB、IVB、VB、vm 或 νπ_ 元素。 17.根據申請專利範圍第12項之組件,其中該碳氮化物塗層 中之碳氮化物包括碳氮化硼、碳氮化矽、碳氮化锆、碳 氣化敛或其混合物。 18·根據申請專利範圍第17項之組件,其中該碳氮化物塗層 中之碳氮化物包括碳氮化硼,而且該碳氮化硼在具有氮 化硼或碳化硼之複合物中。 19.根據申請專利範圍第17項之組件,其中該碳氮化物塗層 中之碳氮化物包括碳氮化鈦。 20·根據申請專利範圍第12項之組件,其中該含碳氮化物塗 層係沈積至厚度自約0.001至約〇 050英忖。 21.根據申請專利範圍第12項之組件,另外包括一或多種額 外含碳氮化物或中間塗層。 22·根據申請專利範圍第12項之組件,其中該碳氮化物在該 含碳氮化物塗層中形成連續基質相。 23· —種半導體製程設備之組件,其具有至少一個暴露於該 設備中之電裝的表面,該組件包括一種含碳氮化物材 料’其形成暴露於該設備中之電漿的表面。
TW090129824A 2000-12-29 2001-12-03 Carbonitride coated component of semiconductor processing equipment and method of manufacture thereof TW514989B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/750,251 US6533910B2 (en) 2000-12-29 2000-12-29 Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof

Publications (1)

Publication Number Publication Date
TW514989B true TW514989B (en) 2002-12-21

Family

ID=25017092

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090129824A TW514989B (en) 2000-12-29 2001-12-03 Carbonitride coated component of semiconductor processing equipment and method of manufacture thereof

Country Status (8)

Country Link
US (1) US6533910B2 (zh)
EP (1) EP1354072A1 (zh)
JP (1) JP4451596B2 (zh)
KR (3) KR101076244B1 (zh)
CN (1) CN1269989C (zh)
IL (2) IL156699A0 (zh)
TW (1) TW514989B (zh)
WO (1) WO2002053794A1 (zh)

Families Citing this family (397)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US20040206008A1 (en) * 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
US6767844B2 (en) * 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
DE10242475B3 (de) * 2002-09-11 2004-06-17 Forschungszentrum Karlsruhe Gmbh Verschleißschutzschicht
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US6907841B2 (en) * 2002-12-27 2005-06-21 Korea Institute Of Science And Technology Apparatus and method for synthesizing spherical diamond powder by using chemical vapor deposition method
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
JP4532479B2 (ja) * 2003-03-31 2010-08-25 東京エレクトロン株式会社 処理部材のためのバリア層およびそれと同じものを形成する方法。
KR100918528B1 (ko) 2003-03-31 2009-09-21 도쿄엘렉트론가부시키가이샤 처리부재 상에 인접한 코팅을 결합시키는 방법
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7119032B2 (en) * 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
JP5028755B2 (ja) * 2005-06-23 2012-09-19 東京エレクトロン株式会社 半導体処理装置の表面処理方法
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20070108161A1 (en) * 2005-11-17 2007-05-17 Applied Materials, Inc. Chamber components with polymer coatings and methods of manufacture
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
WO2008027845A2 (en) * 2006-08-31 2008-03-06 Applied Materials, Inc. Dopant activation in doped semiconductor substrates
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
JP2008227033A (ja) * 2007-03-12 2008-09-25 Tokyo Electron Ltd 基板処理装置
GB2460487A (en) * 2008-05-23 2009-12-09 Tesla Engineering Ltd A vacuum chamber with multi-layered walls
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20110315081A1 (en) * 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
GB201102673D0 (en) * 2011-02-16 2011-03-30 Spp Process Technology Systems Uk Ltd Methods of depositing aluminium layers
US9670574B2 (en) * 2011-02-16 2017-06-06 Spts Technologies Limited Methods of depositing aluminium layers
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
WO2012148370A1 (en) * 2011-04-27 2012-11-01 Axcelis Technologies, Inc. Substantially non-oxidizing plasma treatment devices and processes
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5758829B2 (ja) * 2012-03-27 2015-08-05 東京エレクトロン株式会社 ボロン含有シリコン酸炭窒化膜の形成方法およびシリコン酸炭窒化膜の形成方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
CN103605267B (zh) * 2013-10-23 2017-04-12 上海华力微电子有限公司 远程射频等离子体源的隔离结构
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR20160030812A (ko) * 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN105220120B (zh) * 2015-10-27 2017-06-23 中国科学院兰州化学物理研究所 一种多层复合类富勒烯薄膜在汽车发动机上产业化的方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
KR20180093814A (ko) * 2017-02-14 2018-08-22 에스케이씨솔믹스 주식회사 보론카바이드를 포함하는 플라즈마 처리장치 및 그 제조방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN114245930B (zh) 2019-07-18 2024-05-24 恩特格里斯公司 具有电弧室材料混合的离子植入系统
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117264451A (zh) * 2023-10-08 2023-12-22 东莞市霖晨纳米科技有限公司 一种纳米润滑陶瓷涂层材料及其制备方法

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1957952A1 (de) * 1969-11-18 1971-05-27 Siemens Ag Siliciumnitridbeschichtung an Quarzwaenden fuer Diffusions- und Oxydationsreaktoren
US3771976A (en) * 1971-01-08 1973-11-13 Texas Instruments Inc Metal carbonitride-coated article and method of producing same
US4196233A (en) 1974-02-07 1980-04-01 Ciba-Geigy Corporation Process for coating inorganic substrates with carbides, nitrides and/or carbonitrides
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS62103379A (ja) 1985-10-29 1987-05-13 Showa Alum Corp Cvd装置およびドライ・エツチング装置における真空チヤンバの製造方法
US4714660A (en) 1985-12-23 1987-12-22 Fansteel Inc. Hard coatings with multiphase microstructures
US4988564A (en) * 1986-08-25 1991-01-29 Gte Laboratories Incorporated Metal carbide, nitride, or carbonitride whiskers coated with metal carbides, nitrides, carbonitrides, or oxides
JP2584217B2 (ja) 1986-11-18 1997-02-26 株式会社豊田中央研究所 表面処理方法
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US5135801A (en) 1988-06-13 1992-08-04 Sandvik Ab Diffusion barrier coating material
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5268200A (en) * 1990-05-21 1993-12-07 Applied Materials, Inc. Method of forming plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5232522A (en) 1991-10-17 1993-08-03 The Dow Chemical Company Rapid omnidirectional compaction process for producing metal nitride, carbide, or carbonitride coating on ceramic substrate
US5156725A (en) 1991-10-17 1992-10-20 The Dow Chemical Company Method for producing metal carbide or carbonitride coating on ceramic substrate
US5776615A (en) 1992-11-09 1998-07-07 Northwestern University Superhard composite materials including compounds of carbon and nitrogen deposited on metal and metal nitride, carbide and carbonitride
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH06280004A (ja) * 1993-03-24 1994-10-04 Japan Steel Works Ltd:The 電子ビーム蒸発源
US5246881A (en) 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5597272A (en) 1994-04-27 1997-01-28 Sumitomo Electric Industries, Ltd. Coated hard alloy tool
TW321821B (zh) 1994-05-17 1997-12-01 Hitachi Ltd
US5417952A (en) 1994-05-27 1995-05-23 Midwest Research Institute Process for synthesizing titanium carbide, titanium nitride and titanium carbonitride
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6146697A (en) * 1999-03-02 2000-11-14 Kennametal Inc. MT CVD process

Also Published As

Publication number Publication date
KR20090106662A (ko) 2009-10-09
JP2004517481A (ja) 2004-06-10
IL156699A0 (en) 2004-01-04
CN1488008A (zh) 2004-04-07
US20020094378A1 (en) 2002-07-18
KR101076244B1 (ko) 2011-10-26
EP1354072A1 (en) 2003-10-22
IL156699A (en) 2006-07-05
US6533910B2 (en) 2003-03-18
KR20080068941A (ko) 2008-07-24
KR20030063486A (ko) 2003-07-28
CN1269989C (zh) 2006-08-16
JP4451596B2 (ja) 2010-04-14
WO2002053794A1 (en) 2002-07-11

Similar Documents

Publication Publication Date Title
TW514989B (en) Carbonitride coated component of semiconductor processing equipment and method of manufacture thereof
TW533494B (en) Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
JP4358509B2 (ja) 反応室壁上のダイヤモンド被膜及びその製造方法
KR100916952B1 (ko) 반도체 처리 장비의 풀러린 코팅 컴포넌트
TW548737B (en) Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees