TW508697B - Plasma processing apparatus and exhaust ring - Google Patents

Plasma processing apparatus and exhaust ring Download PDF

Info

Publication number
TW508697B
TW508697B TW090127746A TW90127746A TW508697B TW 508697 B TW508697 B TW 508697B TW 090127746 A TW090127746 A TW 090127746A TW 90127746 A TW90127746 A TW 90127746A TW 508697 B TW508697 B TW 508697B
Authority
TW
Taiwan
Prior art keywords
processing
exhaust ring
exhaust
processing chamber
plasma
Prior art date
Application number
TW090127746A
Other languages
English (en)
Inventor
Masahiro Ogasawara
Kazuya Kato
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW508697B publication Critical patent/TW508697B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)

Description

508697 A7 ____B7_ 五、發明説明(1 ) 技術領域 本發明係關於電漿處理裝置。 技術背景 過去’在半導體裝置的製程中,將上部電極與下部電 極相對向配置之電漿處理裝置被廣泛地使用於氣密的處理 室内。該電漿處理裝置被建構成,將被處理體載置於下部 電極上以後,對上部電極施加高頻電力,將導入處理室内 的處理氣體予以電漿化,再對被處理體施以預定的電漿處 理。 而’在下部電極的側面與處理容器的内壁面之間,排 氣環被安裝成包圍下部電極的周圍之狀態,藉此排氣環, 處理容器内被分離成配置有被處理體的處理空間,和與排 氣機構連通之排氣路徑。另,排氣環上形成有複數個貫通 孔,藉此貫通孔,處理空間與排氣路徑形成連通。因此, 在處理時,由於處理空間内之氣體經由貫通孔而被導入排 氣路徑,處理空間内與排氣路徑内的傳導性(conductance) 被維持於預定的狀態,因而可以安定地將處理空間内加以 排氣。電漿被封入前述處理空間的同時,利用被保持於接 地電位的處理容器内壁面及排氣環即可以確保接地(夕·、予^ 卜、,ground) 〇 但疋’排氣環為了保持於接地電位而以鋁合金等的金 屬構成,在處理容器内要承受因所產生的電漿造而導致之 損傷。另’接地區域或接地面積不足時,因處理容器内之 電装的偏離等,有發生異常放電的傾向。如此,當發生異 观697 A7 厂 ____B7_ 五、發明説明(2 ) 常放電時,不但無法得到安定的放電,而且對處理體造成 損傷,成為產率低落的原因。此外,也有使處理室内壁和 _ 排氣環受到損傷的問題。 • 本發明即是有鑑於此種問題而完成者,目的在於提供 一種具備耐電漿性高,且可以抑制異常放電之排氣環的電 漿處理裝置。 發明說明 為解決上述課題,依據本發明而提供_種新穎且經改 良的電漿處理裝置,其配備處理室、在前述處理室内可以 載被處理體之第1電極 '在前述處理室内和前述第丨電極相 對向配置之第2電極、可以將處理氣體導入前述處理室内之 處理氣體供給系統、可將前述處理室内真空排氣之排氣系 統’和一方面對前述第1及第2電極之至少任一者施加高頻 電力,使前述處理氣體電漿化,並對前述被處理體施以預 定的電漿處理之高頻電力供給系統。另,提供一種設置於 處理室内之電漿處理空間與排氣空間之間的既新賴且經改 良之排氣環。 > 為解決上述課題’本發明之第1觀點的發明為電漿處理 - 裝置,其特徵為,在前述第1電極的周圍,以將處理室内之 電漿處理空間與排氣空間加以區劃的狀態配設排氣環,並 於前述排氣環形成有貫通孔和凹凸部。 另,本發明之第2觀點的發明為電漿處理裝置,其特徵 為,在前述第1電極的周圍,以將處理室内之電漿處理空間 與排氣空間加以區劃的狀態,配設形成有複數個貫通孔之 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)^
508697 A7 ____B7_ 五、發明説明(3 ) 排氣環,且前述排氣環之前述電漿處理空間側表面上被施 以絕緣被覆。 另’本發明之第3觀點的發明為排氣環,特徵為形成有 貫通孔與凹凸部。 又,本發明第4觀點之發明為排氣環,特徵為在電漿處 理空間側表面上被施以絕緣被覆。 此外,若詳細說明本發明之特徵,則前述凹凸部也可 以包含停留孔。前述貫通孔的數目比前述停留孔的數目更 多亦可。前述絕緣被覆可以是丫2〇3及Al2〇3之至少任一者。 前述貫通孔及/或前述停留孔之前述處理室側開口部亦可 以呈廣口地形成錐形。 依據本發明之構成,因為不僅在排氣管形成貫通孔, 還形成凹凸部,所以可以抑制電漿洩露,並且可以確保接 地面積。另,因實施絕緣被覆,故而可以更有效地抑制電 聚的、Λ露。因Υ:2〇3及Al2〇3耐電衆侵姓性高,故以其等做為 絕緣被覆時,處理容器内不易發生損傷,不但可以減金屬 污染和發塵現象,可以使產率提高,同時可以減少處理裝 置的維修頻率。將前述孔的處理室側開口部呈廣口地形成 錐形時’更可以確保接地面積,而且,即使在處理室侧開 口部附著了處理時所產生的附著物,依然可以延長孔的内 徑直到施狹小化為止的時間,從而延長維修周期。 圖式之簡單說明 第1圖為可適用本發明的蝕刻裝置之概略斷面示意圖。 第2圖,(a)為本發明的實施態樣之排氣環從處理空間 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 6 請·; 先 : 閲 . 讀 ; 背Ί· s · 之 : 注 ·」 意 | 事! 項 : f ^ 本 ! 頁 : 訂
观697 A7 _______£7___ 五、發明説明(4 ) 側看過去的平面圖,(b)為A — A線的斷面圖。 第3圖為本發明的實施態樣之排氣環的變形例斷面圖。 (請先閲讀背面之注意事項再填寫本頁) 第4圖為本發明另一個實施態樣的排氣環之斷面圖。 第5(a)〜(c)圖為本發明別的實施態樣之排氣環的話斷 面圖。 實施發明之最佳態樣 以下’一邊參照所附圖式,就本發明之電漿蝕刻處理 裝置的適當實施態樣做詳細說明。 (1)蝕刻裝置之整體構成 首先,就蝕刻裝置之構成概略說明。如第1圖所示,處 理室100備有頂棚部110,和上部開口之略呈圓筒形的導電 性容器部120。頂棚部110係藉鎖定機構130,和容器部120 形成可自由鎖固和脫離地固定,而可以自由開閉。在容器 部12 0内配置有載置被處理體,例如半導體晶圊(以下稱「晶 圓」。)W之導電性下部電極122。在頂棚部110則和下部電 極122呈相對向地配置了上部電極112。 •線 於上部電極112形成有用以對電漿處理空間1〇2吐出處 理氣體之複數個氣體吐出孔112a。吐出孔112a通過氣艘供 給路徑114連接到氣體供給源140。因此,處理氣鱧係從氣 體供給源140,經由吐出孔112a被供給到電漿處理空間1 〇2。 另,於下部電極122的下方周圍配設有排氣環126。排 氣環126將電漿處理空間102與排氣空間104隔開。如同在後 面將詳述者,於排氣環126形成有複數個貫通孔126a和停留 孔(非貫通孔)126b。藉貫通孔126a,排氣環126上方的電衆 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 508697 A7 __B7_ 五、發明説明(5 ) (請·先閲讀t面之注意事項再填寫本頁) 處理空間102,和排氣環126下方的排氣空間104即相連通。 因此,電漿處理空間102的氣體,通過排氣環126的貫通孔 126a,透過開關闊150、排氣量調整閥152,再利用渦輪分 子栗(turbo-molecular pump) 154即可以適當地排氣。 從高頻電源160輸出的高頻電力,經由整合器162而被 施加到下部電極122。從高頻電源164輸出之高頻電力則經 由整合器166而被施加到上部電極112。因相關的電力之施 加,導入處理室100内的處理氣體會電漿化,再以其電漿對 晶圓W實施預定的蝕刻處理。 在電漿處理空間102之容器部120内壁上,設置了表面 被施以Y203等之塗佈的澱積屏蔽(deposition shield) 124。 藉採用耐電漿性高的Y2〇3塗佈,電漿的活性種蝕刻處理室 之内壁面,防止質粒(particle)發生的現象。 4 頂棚部110與容器部120之間介插有導電性Ο環132。從 上部電極112經由容器部120構成了接地路徑,導電性0環 132成為該接地路徑的一部分。將容器部120内予以真空排 氣時,導電性Ο環132受到壓縮,頂棚部110與容器部120之 間更為密合,可以確實地.接地。 (2)排氣環之構成 接著,一邊參照第2圖以就本實施態樣之排氣環126詳 加說明。第2(a)圖係從處理空間側看排氣環126的平面圖。 第2(b)圖為排氣環126之A—A線的斷面圖。 在排氣環126上呈放射狀地形成有複數個貫通孔 126a。總數之1/3數量的貫通孔126a,在排氣空間104側被 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 508697 A7 _____B7__ 五、發明説明(6 ) 以貼付密封元件的方式加以封止。被封止的孔形成開口於 電漿處理空間102側之停留孔126b。做為密封元件之一例, (請先閲讀背面之注意事項再填寫本頁) • 在此處係採用卡普通膠帶(力7。卜 >予一夕)126c。相對於習 知的排氣環,因貫通孔126a的數目減少,且形成未貫通之 停留孔126b,故而可以增大接地(夕·、今 > 卜,)面積。 另,在排氣環126的處理空間側之表面上施以γ2〇3塗 覆126d做為絕緣被覆。γ2〇3塗覆126d的厚度在此處為50〜 100 μ m。Υζ〇3因耐電漿腐蝕性高,故處理容器内之損傷難 以發生,且金屬污染和發塵減少。因此,可以使產率提高, 同時可以減少對裝置進行維修的頻度。另,絕緣被覆的塗 佈材料有ΑΙ2Ο3等。 停留孔126b之製作方法不限於上述方式,例如,也可 以在製作成所有的孔都是貫通孔126a的排氣環126上,僅在 貫通孔126a部分上開設貫通孔,而在停留孔126b部分上則 貼合未開孔之其他板材。或者,如第3圊所示,也可以從一 開始就將設有貫通孔126a與停留孔126b的排氣環加以一體 成型,或者也可以用切削加工製作。再者,為確保用於接 - 地的表面積,停留孔的内面積越大越好。 . 第4圖為另一實施態樣的排氣環之斷面圖。在本實施態 樣中,係以貫通孔126a與停留孔126b的處理室側開口部呈 廣口狀地形成錐狀(taper)部126e,為其特徵。其他構成則 與前述的實施態樣相同。如此,藉形成錐形狀,因在處理 室側開口部上,即使處理時所產生的附著物附著於開口 部,該附著物也會從錐狀面上依序地堆積,故而可以延長 本紙張尺度適用中國國家標準(CNS) A4規格(2i〇x297公爱) -9 - 508697 A7 _____Β7_ 五、發明説明(7 ) 孔的内徑直到狹小化為止的時間。從而,因排氣環126的維 修週期延長,故可以使產率提高。 第5圖為再一個實施態樣的排氣環之斷面圖。在示於第 5(a)圖的態樣中形成有貫通孔126a和凹凸部126f。該凹凸部 126f,在本實施態樣中,係因在電漿處理空間側形成多數 個具有貫通孔126a的1/2左右之直徑,1/5左右之深度的非 貫通孔125e而形成。若與示於第2圖及第3圖之非貫通孔 125b相比較,則雖其直徑的尺寸和深度小,但是孔的數目 多,故可以確保所需要的接地(/予 > 卜·)面積。在排氣環126 的處理空間側之表面上,被施以和前述的實施態樣相同之 Y2〇3塗覆126d。如此,因處理空間側的表面上具有凹凸形 狀,故可以增大接地(〆今 > 卜·)面積,且,因非貫通孔126e 的深度淺,故加工變得更容易。 在示於第5(b)、(c)圖的態樣中,形成了具有階段狀的 凹凸形狀之凹凸部126g以取代第5(a)圖之凹凸部I26f。其 他構成與第5(a)圖者相同。在示於第5(b)、(c)圖的態樣中, 也可以獲得和示於第5(a)圖的態樣相同的效果。再者,在 第5(a)、(b)、(c)之各態樣中,貫通孔126a之處理室側開口 部也可以呈廣口狀地形成錐狀部126e,或者,也可以形成 具有階段狀的凹凸形狀之凹凸126g成為平滑曲線的狀態。 以上雖一邊參照所附圖式說明本發明之合適的實施態 樣,惟本發明並不限於該等實施例。可以了解,凡熟習此 項技術者,在申請專利範圍所記載的技術思想範疇内,能 夠想到之各種變更例或修正例,當然也都屬於本發明之技 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) -10 - (請先閲讀背面之注意事項再填寫本頁) 、-!1 4 508697 A7 _ B7_ 五、發明説明(8 ) 術範圍。 貫通孔和停留孔的形狀、配置、錐形狀,以及凹凸部 的形狀等,並不限於上述實施態樣的狀況,.各種情形都可 以考慮。關於該等情形本發明也都可以適用。例如在上述 實施態樣中,平面圖的貫通孔、停留孔,形狀雖是圓形的 球孔,但是並不限於此形狀,長孔、短形(狹縫狀)亦可。 另,在上述實施態樣中,雖以停留孔的數目為貫通孔總數 的1/3為例做說明,惟亦不限定於此種態樣。 本發明並不限於上述平行平板型裝置,應用在磁控 (magnetron)式之電漿蝕刻裝置、電漿CVD裝置等各種處理 裝置也都是可以的。 以上,如同詳細說明的内容,若依據本發明,藉設置 貫通孔與凹凸部於排氣環的處理,可以抑制電漿的沒漏, 而且因為可以增大接地(7、今 > 卜’)面積,故可以抑制異常放 電。因此’對被處理體的傷害減低,和習知相比可以使產 率提高,而且處理室的内壁和排氣環的損傷也可以減輕。 此外’因對排氣環施以Y2〇3等之絕緣被膜,不但處理容器 内之損傷不易發生,而且金屬污染和發塵減少,可以使產 率提高,升且可以減少維修的頻度。另,因將貫通孔和停 留孔做成朝處理室側呈廣口狀的錐形狀,故即使處理時所 產生的附著物附著到處理室側開口部,由於該附著物會從 錐狀面上依序堆積,故而可以延長孔的内徑直到狹小化為 止的時間,可以延長連續處理時間及排氣環的維修週期, 色可以使產率提高。 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐) 11 (請先閲讀背面之注意事項再填寫本頁) 訂丨 •線, 508697 A7 _JI7___ 五、發明説明(9 ) 產業上之利用可能性 本發明可以應用於半導體裝置的製程中所使用之電漿 處理裝置,及設於該電漿處理裝置之排氣環。 元件標號對照 100···處理室 126b...停留孔 102···電漿處理空間 126d…錐形部 104···排氣空間 126f,126g···凹凸部 110···頂棚部 130···鎖定機構 112···上部電極 132···導電性〇環 112a···氣體吐出孔 14〇···氣體沐給源 114···氣體供給路徑 150…開關閥 120···容器部 15 2…排氣量調整間 122···下部電極 154···渦輪分子泵 124…澱積屏蔽 160, 164…高頻電源 126…排氣環 162, 166···整合器 126a…貫通孔 W...晶圓 本紙張尺度適用中國國家標準(CNS) A4規格(21〇x297公爱) 12 (請t閲讀背_面之注意事項再填寫本頁)

Claims (1)

  1. 508697 A8 B8 C8 ___D8 六、申請專利範圍 1· 一種電漿處理裝置,其為具備 ^ 處理室,和 在前述處理室内可以載置被處理體之第1電極,和 在前述處理室内,和前述第1電極相對向地配置之 第2電極,和 可以將處理氣體導入前述處理室内之處理氣體供 給系統,和 可將前述處理室内真空排氣之排氣系統,和 對前述第1及第2電極之至少任一者施加高頻電力 ^將前述處理氣體電漿化,再對前述被處理體施以預定的 電漿處理之高頻電力供給系統的電漿處理裝置中,特徵 在於, 在前述第1電極周圍,排氣環被配設成區劃處理室 内之電衆處理空間與排氣空間的狀態,且前述排氣環上 形成有貫通孔與凹凸部。 2·如申請專利範圍第丨項之電漿處理裝置,特徵在於前述 凹凸部包含停留孔。 3.如申請專利範圍第2項之電漿處理裝置,特徵在於前述 貫通孔的數目比前述停留孔的數目多。 4·如申請專利範圍第2項之電漿處理裝置,特徵在於前述 貫通孔及/或前述停留孔之前述處理室側開口部呈廣口 地形成錐狀。 5·如申請專利範圍第1項之電漿處理裝置,特徵在於前述 排氣環之削述電漿處理空間側表面上被施以絕緣被覆。 本紙張尺度適用中國國家標準(Ο®) A4規格(210X 297公釐) 13 慊 (請舲閲讀背面之注意事項再填寫本頁) • 3^丨
    如申明專利範圍第5項之電漿處理裝置特徵在於前述 絕緣被覆為丫2〇3及八丨2〇3之至少任一者。 7. 一種電漿處理裝置,其為具備 處理室,和 在前述處理室内可以載置被處理體之第1電極,和 在前述處理室内·,和前述第1電極相對向地配置之 第2電極,和 可以將處理氣體導入前述處理室内之處理氣體供 給系統,和 可將前述處理室内真空排氣之排氣系統,和 對前述第1及第2電極之至少任一者施加高頻電力 將前述處理氣體電漿化,再對前述被處理體施以預定的 電漿處理之高頻電力供給系統的電漿處理裝置中,特徵 在於, 在前述第1電極周圍,形成有複數個貫通孔之排氣 環被配設成區劃處理室内的電漿處理空間與排氣空間 之狀態’且於前述排氣環之前述電漿處理空間側表面上 被施以絕緣被覆。 8. 如申請專利範圍第7項之電漿處理裝置,特徵在於前述 絕緣被覆為Y2〇3及AI2O3之至少任一者。 9·如申請專利範圍第7項之電漿處理裝置,特徵在於前述 貫通孔之前述處理室側開口部呈廣口地形成錐狀。 10. —種排氣環,其係設在處理室内之電漿處理空間與排 氣空間之間的排氣環中,特徵在於形成有貫通孔與凹凸 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐) 14 .......................裝................:、可..................線. (請先閲讀背面之注意事項再填窝本頁) yj^yyjy / A8 B8 C8 ~____ 、申請專利範圍 部。 u·如申请專利範圍第10項之排氣環,特徵在於前述凹凸部 包含停留孔。 12·如申請專利範圍第u項之排氣環,特徵在於前述貫通孔 的數目比前述停留孔的數目多。 u·如申請專利範圍第n項之排氣環,特徵在於前述貫通孔 及/或前述停留孔之前述處理室側開口部呈廣口地形成 錐狀。 14·如申請專利範圍第1〇項之排氣環,特徵在於前述排氣環 之刚述電漿處理空間側表面上被施以絕緣被覆。 15·如申請專利範圍第14項之排氣環,特徵在於前述絕緣被 復為Y2〇3及八12〇3之至少任一者。 6·種排氣環,特徵在於其係設在處理室内之電衆處理空 間與排氣空間之間的排氣環中,特徵在於形成有複數個 貫通孔’且前述排氣環之前述電漿處理空間側表面上被 施以絕緣被覆。 17·如申請專利範圍第16項之排氣環,特徵在於前述絕緣被 覆為Υ2〇3及Α12〇3之至少任一者。 18·如申請專利範圍第16項之排氣環,特徵在於前述貫通孔 之前述處理室側開口部呈廣口地形成錐狀。 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 15 (請ibw讀背*10之注意事項再填寫本頁) •訂丨
TW090127746A 2000-11-10 2001-11-08 Plasma processing apparatus and exhaust ring TW508697B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000343178A JP4602532B2 (ja) 2000-11-10 2000-11-10 プラズマ処理装置

Publications (1)

Publication Number Publication Date
TW508697B true TW508697B (en) 2002-11-01

Family

ID=18817585

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090127746A TW508697B (en) 2000-11-10 2001-11-08 Plasma processing apparatus and exhaust ring

Country Status (7)

Country Link
US (2) US6878234B2 (zh)
JP (1) JP4602532B2 (zh)
KR (1) KR100890654B1 (zh)
CN (1) CN1217388C (zh)
AU (1) AU2002212705A1 (zh)
TW (1) TW508697B (zh)
WO (1) WO2002039493A1 (zh)

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US20040129218A1 (en) * 2001-12-07 2004-07-08 Toshiki Takahashi Exhaust ring mechanism and plasma processing apparatus using the same
JP4141234B2 (ja) * 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
US6907841B2 (en) * 2002-12-27 2005-06-21 Korea Institute Of Science And Technology Apparatus and method for synthesizing spherical diamond powder by using chemical vapor deposition method
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US7972467B2 (en) * 2003-04-17 2011-07-05 Applied Materials Inc. Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
US7001491B2 (en) * 2003-06-26 2006-02-21 Tokyo Electron Limited Vacuum-processing chamber-shield and multi-chamber pumping method
JP2007073539A (ja) * 2003-12-18 2007-03-22 Tokyo Electron Ltd 成膜方法およびプラズマ発生方法、基板処理装置
US7958842B2 (en) * 2004-02-27 2011-06-14 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
EP2479783B1 (en) * 2004-06-21 2018-12-12 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
CN1984523B (zh) * 2004-06-21 2014-06-11 东京毅力科创株式会社 等离子体处理装置和方法
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
US8608851B2 (en) * 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
CN100416757C (zh) * 2005-12-07 2008-09-03 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀装置排气环
US7699957B2 (en) * 2006-03-03 2010-04-20 Advanced Display Process Engineering Co., Ltd. Plasma processing apparatus
JP4885585B2 (ja) * 2006-03-23 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US8141514B2 (en) 2006-03-23 2012-03-27 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
JP4885586B2 (ja) * 2006-03-23 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置
US8104428B2 (en) 2006-03-23 2012-01-31 Tokyo Electron Limited Plasma processing apparatus
KR100994469B1 (ko) * 2006-04-04 2010-11-16 엘아이지에이디피 주식회사 플라즈마 처리장치의 배플 구조
US7884026B2 (en) * 2006-07-20 2011-02-08 United Microelectronics Corp. Method of fabricating dual damascene structure
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
CN101541140B (zh) * 2008-03-17 2012-08-22 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理装置及其屏蔽环
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
KR100992392B1 (ko) * 2008-05-09 2010-11-05 주식회사 디엠에스 플라즈마 반응장치
JP5643528B2 (ja) * 2009-03-30 2014-12-17 東京エレクトロン株式会社 基板処理装置
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
JP5678883B2 (ja) * 2009-11-02 2015-03-04 東レ株式会社 プラズマcvd装置、および、シリコン薄膜の製造方法
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
JP5782293B2 (ja) * 2011-05-10 2015-09-24 東京エレクトロン株式会社 プラズマ生成用電極およびプラズマ処理装置
US20130153149A1 (en) * 2011-12-20 2013-06-20 Intermolecular, Inc. Substrate Processing Tool with Tunable Fluid Flow
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
CN103578906B (zh) * 2012-07-31 2016-04-27 细美事有限公司 用于处理基板的装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103794459B (zh) * 2012-10-29 2016-04-06 中微半导体设备(上海)有限公司 用于等离子处理腔室的气体喷淋头及其涂层形成方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN104112639B (zh) * 2013-04-22 2016-09-28 中微半导体设备(上海)有限公司 一种实现反应气体快速切换的等离子体反应室及其方法
KR101445226B1 (ko) * 2013-04-23 2014-09-29 피에스케이 주식회사 배기 링 어셈블리 및 이를 포함하는 기판 처리 장치
JP6220183B2 (ja) * 2013-08-07 2017-10-25 株式会社ディスコ プラズマエッチング装置
JP6151605B2 (ja) * 2013-08-14 2017-06-21 株式会社ディスコ プラズマエッチング装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6656809B2 (ja) * 2015-02-20 2020-03-04 宏興 王 マイクロ波プラズマcvd装置
JP2017025389A (ja) * 2015-07-24 2017-02-02 株式会社ユーテック プラズマcvd装置及び成膜方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
JP1584784S (zh) * 2017-01-31 2017-08-28
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102414617B1 (ko) * 2017-08-17 2022-07-01 삼성전자주식회사 기판 처리 장치 및 이의 세정 방법
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN108303216B (zh) * 2018-01-02 2020-03-06 京东方科技集团股份有限公司 一种气体检测装置
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN114300336B (zh) * 2021-12-28 2024-02-23 拓荆科技股份有限公司 一种等离子体反应器

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970003885B1 (ko) * 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US5522932A (en) * 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
US5891253A (en) * 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
TW323387B (zh) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JPH1027784A (ja) 1996-05-08 1998-01-27 Tokyo Electron Ltd 減圧処理装置
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6106630A (en) * 1997-08-07 2000-08-22 Applied Materials, Inc. Ceramic-coated heating assembly for high temperature processing chamber
US6051100A (en) * 1997-10-24 2000-04-18 International Business Machines Corporation High conductance plasma containment structure
JP3350433B2 (ja) * 1998-02-16 2002-11-25 シャープ株式会社 プラズマ処理装置
JPH11243079A (ja) * 1998-02-24 1999-09-07 Toshiba Corp プラズマ処理装置
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
KR100265288B1 (ko) * 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
US6461970B1 (en) * 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6790242B2 (en) * 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20040129218A1 (en) * 2001-12-07 2004-07-08 Toshiki Takahashi Exhaust ring mechanism and plasma processing apparatus using the same
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system

Also Published As

Publication number Publication date
US6878234B2 (en) 2005-04-12
US20040025788A1 (en) 2004-02-12
KR20030057551A (ko) 2003-07-04
WO2002039493A1 (fr) 2002-05-16
CN1461494A (zh) 2003-12-10
JP4602532B2 (ja) 2010-12-22
CN1217388C (zh) 2005-08-31
KR100890654B1 (ko) 2009-03-26
JP2002151471A (ja) 2002-05-24
US7255773B2 (en) 2007-08-14
US20050126488A1 (en) 2005-06-16
AU2002212705A1 (en) 2002-05-21

Similar Documents

Publication Publication Date Title
TW508697B (en) Plasma processing apparatus and exhaust ring
US7771607B2 (en) Plasma processing apparatus and plasma processing method
JP6984126B2 (ja) ガス供給装置、プラズマ処理装置及びガス供給装置の製造方法
JP4307628B2 (ja) Ccp反応容器の平板型ガス導入装置
TW518690B (en) Plasma processing apparatus and its electrode plate, its electrode supporting body and its shield ring
TWI808459B (zh) 電漿處理裝置及其氣體噴淋環的製作方法
JP2004327767A (ja) プラズマ処理装置
JP3782708B2 (ja) 放電プラズマ処理装置及びそれを用いる放電プラズマ処理方法
CN101042991B (zh) 等离子体处理装置
JPWO2008032627A1 (ja) ドライエッチング方法
CN113802113A (zh) 一种改善反应过程中反射功率稳定性的等离子体发生装置
JPS63138737A (ja) ドライエッチング方法
JP6348321B2 (ja) エッチング装置
JP3900956B2 (ja) プラズマ処理方法及び装置
TW201416489A (zh) 一種氣體噴淋頭和製作該氣體噴淋頭的方法
JPH0230125A (ja) プラズマ処理装置
JP2008147384A (ja) ドライエッチング装置
JPS63100176A (ja) スパツタ装置
JPH01189124A (ja) エッチング装置
JPS63281430A (ja) ドライエッチング装置の電極構造
JPH03211726A (ja) プラズマ処理装置
JP4107167B2 (ja) ドライエッチング装置
KR100784793B1 (ko) 플라즈마 처리 장치 및 이를 이용한 기판의 처리 방법
JPH0379026A (ja) ドライエッチング装置
JP2010205872A (ja) プラズマクリーニング方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees