TW478165B - Semiconductor element and its production method - Google Patents

Semiconductor element and its production method Download PDF

Info

Publication number
TW478165B
TW478165B TW089100324A TW89100324A TW478165B TW 478165 B TW478165 B TW 478165B TW 089100324 A TW089100324 A TW 089100324A TW 89100324 A TW89100324 A TW 89100324A TW 478165 B TW478165 B TW 478165B
Authority
TW
Taiwan
Prior art keywords
layer
tungsten
tungsten oxide
semiconductor device
oxide layer
Prior art date
Application number
TW089100324A
Other languages
English (en)
Inventor
Martin Schrems
Dirk Drescher
Helmut Wurzer
Helmut Tews
Original Assignee
Infineon Technologies Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies Ag filed Critical Infineon Technologies Ag
Application granted granted Critical
Publication of TW478165B publication Critical patent/TW478165B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

478165 κι ____ 五、發明說明(I ) (請先閱讀背面之注意事項再填寫本頁) 本發明係關於一種半導體組件及其製造方法,特別是 有關一種半導體組件,其具有場效電晶體之閘極介電質 及/或具有一種在記憶胞中之所謂〃儲存式節點介電質 (storage node dielectrikum) ” 。 爲了保持或提高國際上之競爭力,則須使此種達成指 定之電子式功能所需之成本持續地下降且因此可持續地 提咼生產力。近年來生產力之提高所需之保證是CMOS 技術或DRAM技術。此二種技術是藉由使結構持續地變 小而使生產力提f。 經濟部智慧財產局員工消費合作社印製 但MO S電晶體之結構持續地變小會帶來以下問題:爲 了有效地控制電晶體,通常須使用一些較閘極介電質還 薄之介電層。若像目前一般情況一樣使用二氧化矽作爲 •閘極介電質,則閘極介電質之層厚度在使用0.1 // m技術 時必須較1 · 5 // m還小。但以足夠之精確度可再生性地製 造此種薄的二氧化矽層是很困難的。只有0. 1 μ m之差異 即表示層厚度之變動是在10%之數量級(order)中。此外, 在此種薄的二氧化矽層中會造成一些流經二氧化矽層之 漏電流,這是因爲由於量子力學之穿透(tunnel)效應而使 電荷載體可克服這些由二氧化矽層所產生之位障。 在發展高積體式記憶體組件時,各別記憶胞之晶胞 (cell)電容儘管持續性之小型化仍須保持其電容量或更加 改良而提高。爲了達成此一目的,同樣亦須使用一些薄 的介電層,通常是氧化矽層或氧化物-氮化物-氧化物層 (0N0)以及使用折疊式電容器電極(溝渠式晶胞,堆疊晶 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478165 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明()) 胞)。但在使記憶體介電質之厚度降低時亦會大大地提高 此種流經介電質之電流。 因此建議由具有較高介電常數(ε ,.)之材料來取代一般 之二氧化矽層或氧化物-氮化物-氧化物層。利用此種材 料,則可使用較厚之大於5nm之層來作爲閘極介電質或 記憶體介電質,但這些層在電性上對應於厚度較5nm小 很多之二氧化矽層。此種層之厚度較容易控制而可大大 地降低流經此層之隧道電流。 例如可使用氧化鈦或五氧化鉅或使用由氧化物/氧化 鈦或氧化物/五氧化鉅所構成之層堆疊來用作閘極介電 質之材料。記憶體介電質用之材料例如可選擇鈦酸緦鋇 (BST,(Ba,Sr)Ti03)、鈦酸鉛銷(PZT,Pb(Zr,Ti))或以鑭來摻 雜之鈦酸鉛锆或JS酸緦鉍(SBT,SrBi 2Ta 20 9)。 可惜這些材料就其這些新的應用而言具有一系列之 缺點。因此爲了製造一種由氧化鈦或五氧化鉬所構成之 閘極介電質通常是使用CV:b製程。但這樣所製成之各層 具有一些污點,這些污點是來自CVD方法中所使用之程 序氣體。這些污點會在各層中形成電荷以及所謂〃截取 (traps)〃,這些都會對電晶體之功能有不良影響。此外, 這些層或層堆疊通常不會使介電常數(ε ')提升至足夠 大。 在可用作記憶體介電質之一些新材料中,已證實這些 材料不易以化學方式來蝕刻或不可蝕刻,其中此種蝕刻 去除作用(在使用〃活性(r e a c t i ν e) 〃氣體時)主要是或 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------------訂---r------線 (請先閱讀背面之注意事項再填寫本頁) 478165 經濟部智慧財產局員工消費合作社印製 κι ______Β7 _ 五、發明說明(3 ) 幾乎只與此種蝕刻之物理成份有關。由於此種蝕刻具有 較少之化學成份或不具備化學成份,則即將結構化之層 之飩刻侵蝕是與遮罩或基層(蝕刻停止層)之蝕刻侵蝕 處於同一個數量級中,即,相對於蝕刻遮罩或基層之蝕 刻選擇性通常是很小的(介於0.3和3 · 0之間)。這樣所 造成之結果是:由於遮罩之腐蝕以及遮罩上傾斜之/側面 及不可避免的多角形平,面之形成(斜切,變細),因此只 能確保此結構化有很小之尺寸精確性。此種多角形平面 因此會限制:此種在結構化時所可達成之最小之結構大 小以及在即將結構化之各層中側面輪廓(pro file)之可達 成之斜度。 此外,爲了製成BST層、PZT層或SBT層,則複雜且 •昂貴之沈積方法以及不易處理之一些位障層(例如,鉑 或釕)是需要的。取決於所缺乏之熱穩定性條件,則B S T 層另外亦可不用於所謂〃深溝渠電容器〃中。 本發明之目的是提供一種半導組件及其製造方法,其 可避免上述問題或大大地減小上述之問題。此目的是藉 由申請專利範圍第1項之半導體組件及第8、9以及1 5 項之方法來達成。 本發明有利之其它實施形式、構造和外觀敘述在說明 書中申請專利範圍各附屬項中及所附之圖式中。 依據本發明,須製備一種半導體組件,其具有至少一 種由氧化鎢(wo A,)所構成之已結構化之層。本發明之半導 體組件之特徵是:氧化鎢(WOj層之相對介電常數(£ ,.) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------------訂---Γ------線 (請先閱讀背面之注音心事項再填寫本頁) ^165 A7 B7 1、發明說明(Η ) 較50大。 本發明之半導體組件具有之優點是:非常大之相對介 電辱數(ε ,.)能以較簡易之方式以氧化鎢(wΟ 層(例 如,X= 2-3 )來產生。本發明之半導體組件所具有之其 它優點是:目前在半導體技術中所使用之設備同樣可用 來產生氧化鎢層(WO A,)。不必使用特殊可調整之昂貴之設 備。產生氧化鎢層(WO /)所用之鎢只會少量地擴散至矽 中,因此在本發明之半導體組件中只有很小之污染危險 性。但使用氧化鎢層(WO A〇不是只限於矽技術中,這些層 亦可用在其它半導體(例如,GaAs)中。 較佳是使用氧化鎢層(WO J作爲記憶體-、閘極-、隧道 -或STI-襯墊-介電質。 •此外,氧化鎢層之相對介電常數’(ε J較1 0 0大是有利 的,特別是大於1 5 0時。 依據本發明之其它形式,此種半導體組件具有至少一 種由含有鎢之層及氧化鎢層(WOj所構成之層堆疊,情況 需要時亦可具有一種由含有鎢之層及氧化鎢層(woy所 構成之已結構化之層堆疊。 此外,較佳是此種半導體組件具有至少一種由氧化鎢 層(WO x)和至少一層位障層所構成之層堆疊,情況需要時 亦可具有一種由氧化鎢層(WO 和至少一層位障層所構 成之已結構化之層堆疊。 較佳是此種含鎢很多之層由鎢、矽化鎢或氮化鎢所構 成。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注音?事項再填寫本頁) # 訂-ΙΊ------線· 經濟部智慧財產局員工消費合作社印製 478165 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(5 ) 此外,位障層較佳是由氧化矽、氮化矽、氧化氮、氮 化鎢或氮化鈦所構成。 依據本發明,其亦提供此種半導體組件(其具有氧化 鎢層)之製造方法。本發明之方法具有以下之各步驟爲 其藏徵: (a) 製備一種含有鎢之層, (b) 此種含有鎢之層是在一種含氧之大氣中進行熱氧 化, (c) 此種由氧化鎢構成之層在溫度5 5 0至1 1 〇〇°C之間, 較佳是700至1 100 °C之間,受到一種熱處理,使此 種由氧化鎢(WO x)所構成之層所具有之相對介電常 數(ε ,.)較J0還大。 •依據本發明,另外亦提供一種半導體組件(其具有氧 化鎢層)之製造方法。本發明之方法之特徵是以下各步 驟: (a) 製備一種含有鎢之層, (b) 此種含有鎢之層是在一種含氧之大氣中進行熱氧 化,以便產生一種由氧化鎢(WO x)所構成之層,其相 對介電常數(ε r)較50還大。 較佳是使用一種由鎢、矽化鎢或氮化鎢所構成之層以作 爲含鎢之層。 此外,此種含鎢之層以CVD方法或PVD方法來製成是 有利的。 此種含鎢之層較佳是在5 0 0至1 2 00 °C之溫度中進行熱 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------------訂---1------線 (請先閱讀背面之注意事項再填寫本頁) 478165 A7 B7 五、發明說明(b ) 氧化作用。 依據本發明之其它實施形式,在熱氧化作用之後此種 由氧化鎢(WO A,)所構成之層在5 5 0至1 1 00 °C之間的溫度 (較佳是700至1100 °C )中受到一種熱處理。此種熱處 理較佳是在一種鈍性之大氣中進行。 此外,本發明亦提供此種半導體組件(其具有一種氧 化鎢層)之其它製造方法。本發明之方法之特徵是以下 各步驟: (a) 製備此種半導體組件之表面; (b) 氟化鎢和水在氣體形式之狀態中引導至此表面而產 生一種由氧化鎢(W Ο A.)所構成之層。 此種由氧化鎢(WO 所構成之層較佳是在5 5 0至1 100 •°C之間(較佳是在700至1 100°C之間)的溫度中受到一 種熱處理。特別有利的是此種處理是在一種鈍性之大氣 中進行。 氧化鎢層例如可較鐵電層或鉑層大大地更容易k結構 化。這些氧化鎢層在一般之結構化方法中基本上只可藉 由物理性之蝕刻成份來蝕刻且因此相對於其它層而言只 具有很小之選擇性。因此, 本發明之另一目的是提供一種已結構化之氧化鎢層之 製造方法。此目的是由申請專利範圍第1 8項之方法來達 成。 本發明提供一種已結構化之氧化鎢層之製造方法。本 發明之方法之特徵是以下各步驟: 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) # 訂——τ------線· 經濟部智慧財產局員工消費合作社印製 |0· 1. 爹正 & W補充 五、發明說明(7) (a) 製備一種氧化鎢層, (b) 在氧化鎢層上施加一種遮罩, (c )對應於此遮罩在氧化用之大氣中在溫度大於1 3 〇 π時對 該氧化鎢層進行乾式蝕刻,該氧化用之大氣具有至少一 種鹵素化合物,特別是CF4。 本發明之方法所具有之優點是:氧化鎢層基本上能以化學 成份(即,不具備物理性蝕刻成份)而被乾式蝕刻。因此, 本發明之方法相對於其它材料(例如,矽或氧化矽)而言具
I 有一種很高之選擇性。 此種氧化鎢層較佳是依據申請專利範圍第8至16項中任一 項之方法來產生。 此外,遮罩是一種多晶矽時是有利的。 蝕刻溫度介於20 0 °C和300 °C之間(特別是大約2 50 °C )時 亦是有利的。特別有利的是:氧化用之大氣中之鹵素化合物 之成份是介於1至10%之間。 本發明以下將依據圖式來詳述。圖式簡單說明如下: 第1至4圖本發明之方法之實施形式之圖解。 第5至6圖本發明之方法的另一實施形式之圖解。 第7圖矽基板1上由導電性氮化鎢層2,氧化鎢層3 '和導 電性氮化鎢層4所構成之層堆疊。 第1圖是具有矽基板1之矽晶圓之一部份。第1圖中所示 之矽晶圓之狀態例如對應於矽晶圓在標準CMOS製程中在各別 之電晶體之隔離區(未圖示)及CMOS電晶體之井狀區已產生 之後所具有之狀態。 在矽基板1之表面上現在施加一種大約1至5ηπι厚之 二氧化矽層2以作爲位障層。此種氧化層2例如可藉由 478165— A7 B7__ 五、發明說明(S ) (請先閱讀背面之注意事項再填寫本頁) 熱氧化作用而產生。若熱氧化作用是在大氣中(其另外 含有NO分子或N 20分子)進行,則可製成一種氮化之 二氧化矽層2。二氧化矽層2具有一種很低之〃截取點 (Trap)〃密度,這對即將產生之電晶體之功能有良好之作 用。 如本說明書中之導言所述,準確地控制此種薄的氧化 層之厚度是困難的。但由於此種氧化層只是產生此種真 正閘極介電質所需要的一種先前階段而已,此種氧化層2 之層厚度之變動是可承受的而不會對即將產生之電晶體 之功能有不良之影響。 然後在氧化層2上施加一種含鎢之層3。此種含鎢之層 3可以是一種純鎢層、氮化鎢層或矽化鎢層。此種含鎢之 層3例如可藉由濺鍍程序(PVD方法)或CVD方法來產 生。 若使用CVD方法,則可追溯到下述一.系列之方法: C V D W (在矽上,非選擇性) 例如WF6+SiH4 —W +氣體(胚層) WF6+H2 — W +氣體(散裝(bulk)層) 經濟部智慧財產局員工消費合作社印製 CVD W (在矽上,選擇性地對氮化物、氧化物): 例如 2WF63 Si->2W + 3(SiH4) (此種方法描述在 R.V· Joshi et al·,in J. Appl. Phsy. 71(3) Feb. 1,1 992,pp. 1 42 8 中) 例如WF6+H2— w +氣體 C V D W S i A,: -1 〇- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478165
i PI 年月日二D、 A7 五、發明說明Μ ) 例如 WF 6+SiH 2C1 2— WSi (例如,X = 2-3 ) +氣體 (同樣描述在 R.V. Joshi et al.,in J. Appl. Phsy. 7 1 (3) Feb. 1,1 992,pp.1 42 8 中)。 CVD WN (氮化鎢,例如,W 2N ): 例如 4WF6 + N2 + 12H2 (電漿 CVD) — 4W2N + 24(HF) (例如,在3 5 0-4 00 °C之溫度時)。 這樣所產生之含鎢之層3之層厚度大約是10至20n m。 這樣所產生之情況顯示在第2圖中。 然後此種含鎢之層3藉由熱氧化作用而轉換成氧化鎢 層3’。此種轉換是在含氧之大氣(例如,〇2或H20)中 在5 0 0至1 200 °C之溫度中進行。在使用一種純鎢層或使 用一種矽化鎢層時,溫度不可超過大約600 °C或須進行一 種所謂 ’’low thermal budget” RT0(Rapid Thermal Oxidation),以便防止矽擴散至此種含鎢之層3中且可防 止此種含鎢之層3被氧化。 此種含鎢之層3之熱氧化作用會造成一種氧化鎢層 3’,其幾乎不會有污染且具有較50還大之相對介常數(ε ,.)。因此須選取這些層和製程參數,使此種含鎢之層3 完全轉換成氧化鎢層3 ’或此種含鎢之層3之一部份不會 被氧化。 藉由隨後在大約5 5 0至Π 0 0 °C之溫度中在一種鈍性之 大氣中進行熱處理,則可在一種晶體相位中或燒結(s i n t e r) 相位(例如,一些具有斜方對稱或四邊形對稱之相位) 中產生一種氧化鎢層3 ’( W Ο Λ,,例如X = 2 - 3 )。此種熱處 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公f ) ------------#裝 (請先閱讀背面之注意事項再填寫本頁) 訂---一------線· 經濟部智慧財產局員工消費合作社印製 ^165 ^165 經濟部智慧財產局員工消費合作社印製 A7 B7 1、發明說明(i。) 理可直接涉及氧化鎢層3 ’之產生。但其亦可在稍後之i 程步驟中在製造積體電路時進行。 第3圖所示之層堆疊可良好地應用在MOS電晶體中, 這是因爲二氧化矽層2 (位障層)具有非常小之截取點密 度,已如上所述。就記憶體介電質而言這並非必需的, 其因此亦可不必用在二氧化矽層2中。含有很多鎢之層3 之在熱氧化作用之後仍可能殘留之其餘部份(例如,氮 化鎢)則承擔了一種(導電性)位障層之功能。以此種 方式可以一種簡易且省成本之製程來達成一種很高之電 容。在矽基板1上由導電性氮化鎢層2 (位障層和下電 極),氧化鎢層3 ’和導電性氮化鎢層4 (上電極)所構成 之相對應之層堆疊顯示在第7圖中。 .然後在氧化鎢層V上產生一種導電層4,由此而產生之 情況顯示在第3圖中。但依據所使用之製程在導電層4 之前可沈積另一層位障層(例如,氮化鎢層,未顯示)。 導電層4例如可形成Μ 0 S電晶體之閘極電極且通常是由 摻雜之多晶矽所構成。 然後進行一種光電技術,其中須對多晶矽層4進行結 構化以便產生閘極軌5。閘極軌5又可形成一種遮罩以便 作爲隨後對氧化鎢層3’之蝕刻用。可使用一種〇?4和〇2 所構成之混合物以作爲蝕刻氣體。蝕刻時之溫度大約是 25 0 °C。此蝕刻氣體因此是藉由高頻(HF)耦合輸入或微波 激發而受到驅動以形成一種電漿。C F 4對〇 2之比例大約 是2%至9 8%。 -12· 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) ------ ---------— II--訂·---------線 (請先閱讀背面之注意事項再填寫本頁) 478165 A7 B7 五、發明說明(u) π讀失閱讀背面之注意事項再填寫本頁) 此種成爲游離之氟以及氧化鎢之與此種氟因此而成爲 相連接之此種反應解釋了蝕刻本身之現象。因此形成了 揮發性鎢-氟化合物。氧承擔了作爲(多晶)矽用之鈍化 物之任務。藉由氧而形成SiO 2,其鍵結能量(不使用額 外之離子能量)太高以致於不能藉由很少之氟成份來作 重大之蝕刻。氧化鎢層之鈾刻因此須高選擇性地對(多 晶)矽或氧化矽來進行。這樣所造成之情況顯示在第4 圖中。 製造電晶體所用之製程可依據標準CMOS方法繼續進 行,以便產生完整之電晶體。這些步驟都已爲人所知, 因此不必再說明。 第5圖是矽晶圓(其具有矽基板1 )之一部份。矽晶圓 .在第5圖中所示之狀態對應於矽晶圓在標準CMOS製程 中在各別之電晶體之隔離區(未顯示)及CMOS電晶體 之井狀區產生之後所具有之狀態。 經濟部智慧財產局員工消費合作社印製 然後在矽基板1上直接施加一種氧化鎢層3 ’。此種氧 化鎢層3’藉由CVD方法而產生。氟化鎢和水因此在氣體 形式之狀態中引導至基板表面以作爲先質(precurson): 2WF6 + 4H20->(W0F4) + W0 + (HF)或 WF6+H20 + Si— W-0 + (2HF) + (SiH4) 這樣可沈積一種大約2至2 0 n m厚之氧化鎢層3 ’。 隨後在大約5 5 0至1 10CTC之溫度中在鈍性之大氣中進 行熱處理,則可在晶體相位或燒結相位(例如,具有斜 方對稱或四邊形對稱之各種相位)中產生一種氧化鎢層3, -13- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478165 A7 __B7__五、發明說明(A ) (WO π,例如X二2-3 )。此種熱處理可直接涉及氧化鎢層 3 ’之產生。但此種熱處理亦可在稍後之製程步驟中在製造 積體電路時進行。這樣所產生之情況顯示在第5圖中。 然後在氧化鎢層3 ’上產生一種導電層,但依據所使用 之製程亦可在導電層之前沈積另一個位障層(例如,氮 化鎢層,未顯示)。此導電層和氧化鎢層3 '又可像第4圖 所述一樣被結構化。這樣所造成之情況顯示在第6圖中。 符號說明 1…政基板 2…二氧化砂層 3…含鎢之層 3’…氧化鎢層 .4…導電性氮化鎢層 5…閘極軌 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -1 4 - — — — — — — II I I -I — — — — — —Αν— I I I I I — — — — — — — — — — — — — 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 478165
    六、申請專利範圍 第89100324號「半導體組件及其製造方法」專利案 (90年9月修正) 申請專利範圍 1. 一種半導體組件,其具有至少一層由氧化鎢(31)所構成之 層,其特徵爲:此氧化鎢層(3’)之相對介電常數(ε τ)大於 50 ° 2. 如申請專利範圍第1項之半導體組件,其中該氧化鎢層(3’) 用作記憶體-、閘極-、隧道-或STI-襯墊-介電質。 * 3. 如申請專利範圍第1或第2項之半導體組件,其中該氧 化鎢層(3’)之相對介電常數(ε τ)大於100,特別是大於 150 ° 4. 如申請專利範圍第1或第2項之半導體組件,其中該半 導體組件具有至少一個由含鎢之層(3)和一個氧化鎢層(3·) 所構成之層堆疊。 5. 如申請專利範圍第1或第2項之半導體組件,其中該半 導體組件具有至少一個由氧化鎢層(3,)和至少一個位障層 (2)所構成之層堆疊。 6_如申請專利範圍第4項之半導體組件,其中該含鎢很多 之層(3)是由鎢、矽化鎢或氮化鎢所構成。 7·如申請專利範圍第5項之半導體組件,其中該位障層(2) 是由氧化矽、氮化矽、氧氮化物、氮化鎢或氮化鈦所構 成。 ,、 8· —種半導體組件之製造方法,該半導體組件具有氧化鎢 層’此製is方法之特徵是以下各步驟: 478165 六、申請專利範圍 (a) 製備一種含有鎢之層, (b) 此含有鎢之層是在含氧之大氣中進行熱氧化,以便產 生一種由氧化鎢(W0X)所構成之層,其相對介電常數 U r)大於5 0。 9. 一種半導體組件之製造方法,該半導體組件具有氧化鎢 層,此製造方法之特徵是以下各步驟: (a) 製備一種含有鎢之層, (b) 此含鎢之層是在含氧之大氣中進行熱氧化, (c) 此種由氧化鎢所構成之層在550至llOOt之間的溫度 (較佳是在700至1100°C)時受到一種熱處理,以便 產生一種由氧化鎢(WOx)所構成之層,其相對介電常數 (ε r)大於 50。 10. 如申請專利範圍第8或第9項之方法,其中使用一種由 鎢、矽化鎢或氮化鎢所構成之層作爲該含鎢之層。 11. 如申請專利範圍第8或第9項之方法,其中該含鎢之層 是以CVD方法或PVD方法製成。 12·如申請專利範圍第8或第9項之方法,其中該含鎢之層 在溫度500至1 200°C時進行熱氧化。 这如申請專利範圍第8或第9項之方法,其中在熱氧化之 後此種由氧化鎢(W〇x)所構成之層在溫度550至1100°C(較 佳是在700至ll〇〇°C)時受到一種熱處理。 14·如申請專利範圍第9項之方法,其中熱處理是在鈍性之 大氣中進行。 15.如申請專利範圍第1 3項之方法,其中熱處理是在鈍性 478165 、申請專利範圍 之大氣中進行。 16. —種半導體組件之製造方法,該半導體組件具有氧化鎢 層’此製造方法之特徵是以下各步驟: (a) 製備此種半導體組件之表面; (b) 氟化鎢和水以氣體形式之狀態而被傳送至該表面上, 以便產生一種由氧化鎢(W0X)所構成之層。 17·如申請專利範圍第16項之方法,其中此種由氧化鎢(w〇x) 所構成之層在溫度介於550至1100 °C (較佳是在700至 1100°C)時受到一種熱處理。 18. 如申請專利範圍第17項之方法,其中熱處理是在一種鈍 性之大氣中進行。 19. 一種已結構化之氧化鎢層之製造方法,其特徵是以下各 步驟: (a) 製備一種氧化鎢層, (b) 在此氧化鎢層上施加一種遮罩, (c) 此氧化鎢層在氧化用之大氣中在溫度大於130°C時對應 於遮罩以乾燥方式而被蝕刻,其中該氧化用之大氣具 有至少一種鹵素化合物(特別是CF4 )。 2〇.如申請專利範圍第1 9項之方法,其中該氧化鎢層是依據 申請專利範圍第8至18項中任一項之方法而產生。 21.如申請專利範圍第19或20項之方法,其中該遮罩是一 種多晶矽遮罩。 22如申請專利範圍第19或20項之方法,其中該蝕刻溫度 是介於200°C和300°C之間,特別是大約250°C。 478165 六、申請專利範圍 23.如申請專利範圍第19項之方法,其中該氧化用之大氣中 之鹵素化合物成份是在1至10%之間。 -4-
TW089100324A 1999-01-14 2000-01-11 Semiconductor element and its production method TW478165B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE19901210A DE19901210A1 (de) 1999-01-14 1999-01-14 Halbleiterbauelement und Verfahren zu dessen Herstellung

Publications (1)

Publication Number Publication Date
TW478165B true TW478165B (en) 2002-03-01

Family

ID=7894251

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089100324A TW478165B (en) 1999-01-14 2000-01-11 Semiconductor element and its production method

Country Status (8)

Country Link
US (1) US6960541B2 (zh)
EP (1) EP1145279B1 (zh)
JP (1) JP2002534818A (zh)
KR (1) KR100703260B1 (zh)
CN (1) CN100355085C (zh)
DE (2) DE19901210A1 (zh)
TW (1) TW478165B (zh)
WO (1) WO2000041459A2 (zh)

Families Citing this family (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19944012B4 (de) 1999-09-14 2007-07-19 Infineon Technologies Ag Grabenkondensator mit Kondensatorelektroden und entsprechendes Herstellungsverfahren
EP1307919A4 (en) * 2000-07-12 2009-04-15 California Inst Of Techn ELECTRICAL PASSIVATION OF SILIC-SIZED SURFACES USING ORGANIC LAYERS
DE10043731C2 (de) * 2000-09-05 2003-06-26 Infineon Technologies Ag Meßsonde, deren Verwendung und Herstellung und Meßsystem zum Erfassen von elektrischen Signalen in einer integrierten Halbleiterschaltung
DE10054936C1 (de) * 2000-11-06 2002-04-25 Infineon Technologies Ag Herstellungsverfahren für eine integrierte Schaltung unter zumindest teilweisem Umwandeln einer Oxidschicht in eine leitfähige Schicht
US7378719B2 (en) * 2000-12-20 2008-05-27 Micron Technology, Inc. Low leakage MIM capacitor
CN101159284B (zh) * 2007-09-13 2010-09-29 复旦大学 一种自对准形成上电极的wox电阻存储器及其制造方法
CN101826595B (zh) * 2009-03-03 2013-02-27 复旦大学 一种WOx基电阻型存储器及其制备方法
CN102169956B (zh) * 2010-02-25 2013-04-17 复旦大学 一种氧化钨基电阻型存储器及其制备方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) * 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111977629A (zh) * 2019-05-21 2020-11-24 中国科学院宁波材料技术与工程研究所 一种氮化钨包覆碳球并在碳球上原位生成氮化钨纳米棒的合成方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5656636A (en) * 1979-10-13 1981-05-18 Mitsubishi Electric Corp Processing method of fine pattern
JPS59132136A (ja) * 1983-01-19 1984-07-30 Hitachi Ltd 半導体装置の製造方法
US4522936A (en) * 1983-03-21 1985-06-11 Phillips Petroleum Company Metathesis catalyst
US4965594A (en) * 1986-02-28 1990-10-23 Canon Kabushiki Kaisha Liquid jet recording head with laminated heat resistive layers on a support member
US5189503A (en) * 1988-03-04 1993-02-23 Kabushiki Kaisha Toshiba High dielectric capacitor having low current leakage
FR2636472B1 (fr) * 1988-09-09 1990-11-30 France Etat Procede de formation autoalignee de siliciure de tungstene
US5420060A (en) * 1988-11-14 1995-05-30 Texas Instruments Incorporated Method of making contract-free floating-gate memory array with silicided buried bitlines and with single-step defined floating gates
EP0418540A3 (en) * 1989-08-11 1991-08-07 Sanyo Electric Co., Ltd. Dry etching method
US5089432A (en) * 1990-08-17 1992-02-18 Taiwan Semiconductor Manufacturing Company Polycide gate MOSFET process for integrated circuits
KR920009165B1 (ko) 1990-11-20 1992-10-14 삼성전기 주식회사 회토류-철계 자석의 제조방법
US5541131A (en) * 1991-02-01 1996-07-30 Taiwan Semiconductor Manufacturing Co. Peeling free metal silicide films using ion implantation
JP3047256B2 (ja) * 1991-06-13 2000-05-29 株式会社豊田中央研究所 誘電体薄膜
JPH05109982A (ja) * 1991-10-18 1993-04-30 Sharp Corp 半導体装置及びその製造方法
US5317190A (en) * 1991-10-25 1994-05-31 International Business Machines Corporation Oxygen assisted ohmic contact formation to N-type gallium arsenide
KR940002740A (ko) 1992-07-02 1994-02-19 이희종 음료자동판매기의 원료굳음 방지장치
CA2074848C (en) * 1992-07-29 1998-02-10 Joseph P. Ellul Method of forming electrodes for trench capacitors
US5348894A (en) * 1993-01-27 1994-09-20 Texas Instruments Incorporated Method of forming electrical connections to high dielectric constant materials
JPH0758290A (ja) * 1993-08-09 1995-03-03 Asahi Chem Ind Co Ltd 半導体装置の製造方法
JPH07135202A (ja) * 1993-11-09 1995-05-23 Miyagi Oki Denki Kk 半導体装置の製造方法
JP3398439B2 (ja) * 1993-11-22 2003-04-21 三井化学株式会社 ポリ−α−オレフィンの製造方法
JP3407086B2 (ja) * 1994-06-17 2003-05-19 日本テキサス・インスツルメンツ株式会社 半導体装置の製造方法
KR100342296B1 (ko) * 1994-10-04 2002-11-29 코닌클리케 필립스 일렉트로닉스 엔.브이. 산소장벽이마련된하부전극을가지는강유전성의메모리소자를포함하는반도체장치와그의제조방법
US5547892A (en) * 1995-04-27 1996-08-20 Taiwan Semiconductor Manufacturing Company Process for forming stacked contacts and metal contacts on static random access memory having thin film transistors
AU6185196A (en) * 1995-07-03 1997-02-05 Elvira Gulerson Method of fabricating a fast programming flash e2prm cell
US5830575A (en) * 1996-09-16 1998-11-03 Sandia National Laboratories Memory device using movement of protons
JPH10223900A (ja) * 1996-12-03 1998-08-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
US6498097B1 (en) * 1997-05-06 2002-12-24 Tong Yang Cement Corporation Apparatus and method of forming preferred orientation-controlled platinum film using oxygen
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6001718A (en) * 1997-09-30 1999-12-14 Kabushiki Kaisha Toshiba Semiconductor device having a ternary compound low resistive electrode
KR100377593B1 (ko) * 1997-12-04 2003-07-23 후지쯔 가부시끼가이샤 반도체장치및그제조방법
US6180481B1 (en) * 1998-01-09 2001-01-30 Micron Technology, Inc. Barrier layer fabrication methods
US6291868B1 (en) * 1998-02-26 2001-09-18 Micron Technology, Inc. Forming a conductive structure in a semiconductor device
JP3568385B2 (ja) * 1998-03-16 2004-09-22 沖電気工業株式会社 半導体装置の製造方法
TW377461B (en) * 1998-06-19 1999-12-21 Promos Technologies Inc Method of manufacturing gates
US6168958B1 (en) * 1998-08-07 2001-01-02 Advanced Micro Devices Inc. Semiconductor structure having multiple thicknesses of high-K gate dielectrics and process of manufacture therefor
US6140167A (en) * 1998-08-18 2000-10-31 Advanced Micro Devices, Inc. High performance MOSFET and method of forming the same using silicidation and junction implantation prior to gate formation
US6204203B1 (en) * 1998-10-14 2001-03-20 Applied Materials, Inc. Post deposition treatment of dielectric films for interface control

Also Published As

Publication number Publication date
EP1145279B1 (de) 2008-04-09
DE50015090D1 (de) 2008-05-21
WO2000041459A3 (de) 2001-05-10
JP2002534818A (ja) 2002-10-15
KR20010101512A (ko) 2001-11-14
WO2000041459A2 (de) 2000-07-20
DE19901210A1 (de) 2000-07-27
CN100355085C (zh) 2007-12-12
CN1364317A (zh) 2002-08-14
EP1145279A2 (de) 2001-10-17
KR100703260B1 (ko) 2007-04-03
US20020070414A1 (en) 2002-06-13
US6960541B2 (en) 2005-11-01

Similar Documents

Publication Publication Date Title
TW478165B (en) Semiconductor element and its production method
US10008381B2 (en) Constructions comprising rutile-type titanium oxide; and methods of forming and utilizing rutile-type titanium oxide
Huang Huang
US6573197B2 (en) Thermally stable poly-Si/high dielectric constant material interfaces
TW452922B (en) Methods of fabricating an integrated circuit device with composite oxide dielectric
JP2006060230A (ja) 3次元半導体キャパシタおよびその製造方法
KR20020040541A (ko) 반도체장치 및 그 제조방법
US6781180B1 (en) Trench capacitor and method for fabricating the same
KR100390938B1 (ko) 반도체 소자의 캐패시터 제조 방법
KR20050047471A (ko) 반도체 집적회로장치 및 그 제조방법
US6787414B2 (en) Capacitor for semiconductor memory device and method of manufacturing the same
TW584957B (en) Semiconductor integrated circuit and the manufacturing method thereof
JP4573009B2 (ja) 金属酸化物誘電体膜の気相成長方法
US11923272B2 (en) Integrated assemblies and methods of forming integrated assemblies
WO2010082605A1 (ja) キャパシタ及びキャパシタの製造方法
US20090230510A1 (en) Semiconductor storage device and method of manufacturing the same
TW200304184A (en) Semiconductor device and production method therefor
JPH09223752A (ja) 不揮発性半導体記憶装置の製造方法
US20010023977A1 (en) Semiconductor device and method for fabricating the same
WO2024065881A1 (zh) 具有超高介电常数和/或铁电剩余极化强度的介质薄膜和器件的制备方法及器件
TWI803905B (zh) 用於鐵電記憶體之無碳的疊層氧化鉿/氧化鋯膜
US20080214015A1 (en) Semiconductor devices and methods of manufacture thereof
JP4051922B2 (ja) 五酸化タンタルからなるmisキャパシタの製造方法
TW558796B (en) A method of forming gate dielectrics having various equivalent oxide thickness
JPH0256965A (ja) 半導体記憶装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees