CN100355085C - 半导体元件及其制造方法 - Google Patents

半导体元件及其制造方法 Download PDF

Info

Publication number
CN100355085C
CN100355085C CNB008050295A CN00805029A CN100355085C CN 100355085 C CN100355085 C CN 100355085C CN B008050295 A CNB008050295 A CN B008050295A CN 00805029 A CN00805029 A CN 00805029A CN 100355085 C CN100355085 C CN 100355085C
Authority
CN
China
Prior art keywords
layer
tungsten
tungsten oxide
semiconductor element
oxide layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB008050295A
Other languages
English (en)
Other versions
CN1364317A (zh
Inventor
M·施雷姆斯
D·德雷谢尔
H·乌策
H·图斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of CN1364317A publication Critical patent/CN1364317A/zh
Application granted granted Critical
Publication of CN100355085C publication Critical patent/CN100355085C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

按本发明制备具有由氧化钨(WOX)制的至少一个层的,必要时具有由氧化钨(WOX)制的一个已结构化层的一种半导体元件。按本发明的半导体元件的特征在于,氧化钨层(WOX)的相对介电常数(εr)大于50。

Description

半导体元件及其制造方法
技术领域
本发明涉及一种半导体元件及其制造方法。本发明尤其涉及具有场效应晶体管栅极电介层的和/或具有存储单元中的所谓“存储节点电介层”的一种半导体元件。
背景技术
为了保持或提高国际竞争力,不断降低用于实现某种电子功能所要花费的费用和因此持续地提高生产率是必要的。近年来生产率提高的保证在此曾是和仍然是CMOS工艺技术或DRAM工艺技术。这两种工艺技术在此通过结构缩小的进展达到生产率提高。
MOS晶体管的结构缩小的进展随之带来的却是,为了有效地控制晶体管必须采用越来越薄的电介层作为栅极电介层。如果像当今一般通常的那样,采用二氧化硅作为栅极电介层,在0.1μm工艺技术中的栅极电介层的层厚则必须要少于1.5nm。以足够的精度,可再现地制备这样薄的二氧化硅层却是很困难的。仅0.1nm的偏差意味着在层厚中的数量级为10%的波动。除此之外,在这样薄的二氧化硅层上导致通过二氧化硅层的高泄漏电流,因为通过量子力学的隧道效应载流子可以克服由二氧化硅层所生成的势垒。
在大规模集成存储器模块的发展上,尽管进展着的微型化仍必须保持或甚至于还必须改善一个单个存储单元的单元电容。为了达到此目的,同样采用越来越薄的电介层,通常的氧化硅层或氧化物-氮化物-氧化物层(ONO),以及折叠的电容器电极(沟槽单元Trench-Zelle,堆栈式单元)。在减少存储器电介层的厚度时,却也导致通过电介层的泄漏电流(隧道电流)的明显提高。
因此曾建议,通过具有较高相对介电常数(εr)的材料代替通常的二氧化硅层或氧化物-氮化物-氧化物层。用这样的材料可以采用大于5nm的比较厚的层作为栅极电介层或存储器电介层,这些层在电气上却相当于显著小于5nm的一个二氧化硅层。可以较容易地控制这种层的厚度,并且显著地减小通过层的隧道电流。
例如曾建议氧化钛或五氧化钽,或由氧化物/氧化钛或氧化物/五氧化钽组成的层堆作为栅极电介层的材料。例如钛酸钡锶(BST,(Ba,Sr)TiO3),钛锆酸铅(PZT,Pb(Zr,Ti)O3),或镧掺杂的钛锆酸铅或钽酸锶铋(SBT,SrBi2Ta2O9)作为栅极电介层的材料得到采用。
可惜这些材料对于它们的新用途却具有一系列的缺点。因此通常采用CVD工艺用于制造由氧化钛或五氧化钽制的栅极电介层。如此制备的层却具有归因于在CVD法上所采用的工艺气体的杂质。这些杂质导致在层中的电荷和所谓的“陷阱”,这些电荷和“陷阱”又负面地影响晶体管的功能。此外这些层或层堆通常不产生介电常数(εr)的足够的提高。
在采用为存储器电介层的新材料时已证明,它们属于化学上很难或不能刻蚀的材料,在这些材料上甚至在采用“反应”气体时,刻蚀量绝大部分或几乎仅仅基于刻蚀的物理份额上。由于刻蚀的微小的或缺少的化学组分,应被结构化层的刻蚀量处于如掩模或底层(刻蚀停止层)的刻蚀量那样的同一数量级上,即对刻蚀掩模或底层的刻蚀的选择性一般是小的(约在0.3和3.0之间)。这带来的后果是,通过具有倾斜侧壁的掩模的侵蚀和在掩模上的不可避免的刻面形成[Facettenbildung](斜面,锲形),只能保证结构化时微小的尺寸精确度。因此这种刻面化在结构化时限制最小可达到的结构尺寸,以及在要结构化层上的型面侧壁的可达到的陡度。
此外,为了制备BST层,PZT层或SBT层,复杂而昂贵的淀积方法,以及像铂或钌那样的难处理的壁垒层是必要的。除此之外,由于受缺乏热稳定性所限制,不能采用BST层于所谓的“深沟槽”电容器。
发明内容
因此本发明的任务之一在于提供一种半导体元件及其制造的一种方法,此方法避免或显著地减少所述的问题。由以下技术方案的半导体元件以及方法解决此任务。
根据本发明的一种具有由氧化钨制成的至少一个层的半导体元件,其特征在于,氧化钨层的相对介电常数大于50,并且采用所述氧化钨层作为存储器电介层,栅极电介层,隧道电介层或STI衬垫电介层。
根据本发明的一种用于制造具有氧化钨层的半导体元件的方法,具有以下步骤:a)准备一个含钨层;b)在含氧的环境中热氧化含钨层;c)在550至1100℃之间的一个温度下使由氧化钨制的层经受热处理,这样生成由具有大于50的相对介电常数的氧化钨制成的层。
根据本发明的一种用于制造具有氧化钨层的半导体元件的方法,具有以下步骤:a)准备半导体元件的一个表面;b)将氟化钨和水在气体状态下引到表面上,使得生成由氧化钨制成的层;c)由氧化钨制成的层经受温度为550至1100℃的热处理。
根据本发明的一种用于制造结构化氧化钨层的方法,具有以下步骤:a)准备一个氧化钨层;b)置放掩模到氧化钨层上;c)在氧化环境中,在高于130℃的一个温度下,按照掩模干刻蚀氧化钨层,其中氧化环境具有至少一种卤素化合物。
从本说明书的从属权利要求和所附的图中得出本发明的其它有利的实施形式,构成和特征。
按本发明的半导体元件具有这种优点,用氧化钨层(WOx),例如x=2-3,能以比较简单的方式产生很大的相对介电常数(εr)。除此之外,按本发明的半导体元件具有这种优点,可以将迄今在半导体技术中所采用的设备同样地应用于氧化钨层(WOx)的生成。不必采用专门适配的和因此昂贵的设备。用于生成氧化钨层(WOx)所采用的钨很难扩散入硅中,以至于在按本发明的半导体元件上仅产生微小的污染风险。氧化钨层(WOx)的应用却是不局限于硅工艺技术的,而是在与例如GaAs的另外的半导体的相关技术中也可以采用这些层。
氧化钨层(WOx)被优先采用为存储器电介层,栅极电介层,隧道电介层或STI衬垫(Liner)电介层。
此外,当氧化钨层的相对介电常数(εr)大于100,尤其是大于150时是优先的。
按本发明的一个其它的实施形式,半导体元件具有由一个含钨层和一个氧化钨层(WOx)组成的至少一个层堆,必要时具有由一个含钨层和一个氧化钨层(WOx)组成的一个已结构化的层堆。
此外,当半导体元件具有由一个氧化钨层(WOx)和至少一个壁垒层组成的至少一个层堆,必要时具有由一个氧化钨层(WOx)和至少一个壁垒层组成的一个已结构化的层堆时是优先的。
富钨层是优先由钨,硅化钨,或氮化钨形成的。
此外,当壁垒层是由氧化硅,氮化硅,氧氮化物,氮化钨或氮化钛形成时是优先的。
优先采用由钨,硅化钨或氮化钨制的层作为含钨层。
此外,当用CVD法或PVD法制备含钨层时是优先的。
此外,当在500至1200℃的温度下热氧化含钨层时是优先的。
按本发明的一个其它的实施形式,在热氧化之后在550至1100℃,优先700至1100℃之间的一个温度下,使由氧化钨(WOx)制的层经受热处理。优先在惰性气氛中进行热处理。
在此,当在惰性气氛中进行热处理时是尤其是优先的。
虽然氧化钨层可以比例如铁电层或铂层显著地较容易结构化。尽管如此氧化钨层属于那些层,在通常的结构化方法上基本上只能通过物理的刻蚀组分刻蚀这些层,并且这些层与此相应地相对于另外的层只具有微小的选择性。因此本发明的一个其它的任务在于说明用于制备已结构化的氧化钨层的一种方法。
按本发明的方法具有这种优点,基本上可以化学地,甚至没有物理的刻蚀组分地干刻蚀氧化钨层。与此相应地按本发明的方法具有相对于例如像硅或氧化硅那样的另外的材料的高度选择性。
优先按本发明的其中一种方法生成氧化钨层。
此外,当掩模是一种多晶硅掩模时是优先的。
除此之外,当刻蚀温度在200℃和300℃之间,尤其是约为250℃时是优先的。并且尤其是当氧化气氛中的卤素化合物的份额在1和10%之间时是优先的。
附图说明
以下借助附图详述本发明。所示的:
图1至4为按本发明方法的一种实施形式的示意图,和
图5至6为按本发明方法的一种其它实施形式的示意图。
具体实施方式
图1展示具有硅衬底1的硅晶片的剖视图。硅晶片的在图1中所展示的状态例如相当于,在已经生成了CMOS晶体管的阱和各个晶体管的(未展示的)绝缘之后,硅晶片在标准CMOS工艺中所具有的状态。
现在将约1至5nm厚的二氧化硅层2置放到硅衬底1的表面上作为壁垒层。例如可以通过热氧化生成此氧化层2。如果在附加地含有NO分子或N2O分子的气氛中进行热氧化,则可以制备氮化的二氧化硅层2。二氧化硅层具有一种极低的陷阱密度,这对于还应生成的晶体管的功能有积极的作用。
如在引言中已经提及的那样,准确检控如此薄的氧化层的厚度是困难的。然而由于此氧化层仅是用于制备真正的栅极电介层的一个准备阶段,可以接受氧化层2层厚的起伏,而这一点对于还应生成的晶体管的功能没有起负面的作用。
随后将一个含钨层3淀积到氧化层2上。此含钨层3可以是一个纯的钨层,一个氮化钨层或一个硅化钨层。例如通过溅射工艺(PVD法)或通过CVD法生成含钨的层3。
如果应采用CVD法,可以采用的一系列方法:
CVD W(在硅上,非选择地)
例如WF6+SiH4  →W+气体(晶核层)
例如WF6+H2    →W+气体(体层Bulkschicht)
CVD W(在硅上,对于氮化物,氧化物选择地):
例如2 WF6 3 Si→2 W+3(SiF4)
(由R.V.Joshi及其他人,在J.Appl.Phys.71(3)1992年2月1日,pp.1428中说明了一种这样的方法。)
例如WF6+H2→W+气体
CVD WSix
例如WF6+SiH2Cl2→WSix(例如x=2-3)+气体
(同样R.V.Joshi及其他人,在J.Appl.Phys.71(3)1992年2月1日,pp.1428中说明。)
CVD WN(氮化钨,例如W2N):
例如4WF6+N2+12H2(等离子体CVD)→4W2N+24(HF)
(例如在350-400℃的温度下)
如此生成的含钨层3的层厚约为10至20nm。图2中展示了由此产生的情况。
随后通过热氧化将含钨层3转变成氧化钨层3′。在氧气氛中(例如O2或H2O)在500至1200℃的一个温度下进行转变。在采用纯钨层或采用硅化钨层时,温度不应超过约600℃,或应实施所谓的“低热积聚(budget)”RTO(“快速热氧化”),以便防止硅扩散入含钨的层3中,和防止含钨层3的氧化。
含钨层3的热氧化导致一种氧化钨层3′,此氧化钨层3′几乎不具有杂质,并且此氧化钨层3′具有大于50的相对介电常数(εr)。在此可以如比选择层和工艺参数,使得含钨层3完全转变成氧化钨层3′,或使得含钨层3的一个部分不被氧化。
通过在惰性气氛中约550至1100℃的一个温度下的随后的热处理,可以生成一种结晶相或烧结相(例如具有钭方晶或正方晶对称的相)的氧化钨层3′(WOx,例如x=2-3)。直接紧接着氧化钨层3′的生成已经可以进行这种热处理。但是也可以在集成电路制造中的稍后的工艺步骤中才进行这种热处理。
图3中所展示的层堆很好地适用于MOS晶体管中的用途,因为如已提及的那样,二氧化硅层2(壁垒层)具有极低的陷阱密度。对于存储器电介层这一点不是绝对必要的,以至于对于这种用途也可以舍弃二氧化硅层2。富钨层3(例如氮化钨)的、在热氧化之后有时还剩余的部分,则承担(导电的)壁垒层的功能。以此方式用简单而费用有利的工艺控制是可以实现很大的电容的。图7中展示了在硅衬底1上由一个导电的氮化钨层2(壁垒层和下电极)、氧化钨层3′和一个导电的氮化钨层4(上电极)组成的相应的层堆。
随后在氧化钨层3′上生成导电的层4。图3中展示了由此产生的情况。按所采用的工艺不同,在导电层4之前却还可以淀积一个其它的壁垒层,例如(未展示的)氮化钨层。导电层4例如形成MOS晶体管的栅电极,并且通常由掺杂的多晶硅组成。
随后是光刻技术,此时结构化多晶硅层4,使得生成栅极导线5。栅极导线5又形成用于随后刻蚀氧化钨层3′的掩模。采用由CF4和O2组成的混合物作为刻蚀气体。刻蚀的温度约为250℃。在此通过高频输入或微波激励来激励刻蚀气体用于形成等离子体。CF4对O2的比例约为2%比98%。
释放出的氟和与此相连接的氧化钨与氟的反应是对于刻蚀本身负责的。在此形成挥发性的钨氟化合物。氧承担作为(多晶)硅的钝化剂的任务。通过氧形成SiO2,SiO2的结合能(没有采用附加的离子能)对于为了通过微量的氟份额来显著地刻蚀是太高的。因此氧化钨层的刻蚀对于(多晶)硅或对于氧化硅选择性很强。图4中展示了由此产生的情况。
然后可以按标准CMOS法继续进行制造晶体管的工艺,以便生成完整的晶体管。这些工艺本身是已知的,对其不必进一步详述。
图5展示具有硅衬底1的硅晶片的剖视图。硅晶片的、在图5中所展示的状态又相当于,硅晶片在标准CMOS工艺中在已经生成了CMOS晶体管的阱和各个晶体管的(未展示的)绝缘之后所具有的那种状态。
随后直接将氧化钨层3′置放到硅衬底1上。通过CVD法生成此氧化钨层3′。为此将气体状态下的氟化钨和水作为先导物引导到衬底表面上:
2WF6+4 H2O→(WOF4)+WO3+(HF)或
WF6+H2O+Si→W-O+(2HF)+(SiF4)
这导致约2至20nm厚的氧化钨层3′的析出。
通过在惰性气氛中约550至1100℃的一个温度下的随后的热处理,可以生成结晶相或烧结相(例如具有斜方晶或正方晶对称的相)的氧化钨层3′(WOx,例如x=2-3)。直接紧接着氧化钨层3′的生成已经可以进行这种热处理。但是也可以在集成电路制造中的稍后的工艺步骤中才进行这种热处理。图5中展示了由此产生的情况。
随后在氧化钨层3′上生成导电层。按所采用的工艺不同在导电层之前却还可以析出一个其它的壁垒层,例如(未展示的)氮化钨层。然后又可以像已经在与图4的关联中所说明的那样,结构化导电层和氧化钨层3′。图6中展示了由此产生的情况。

Claims (21)

1.一种具有由氧化钨(3′)制成的至少一个层的半导体元件,其特征在于,氧化钨层(3′)的相对介电常数(εr)大于50,并且采用所述氧化钨层(3′)作为存储器电介层,栅极电介层,隧道电介层或STI衬垫电介层。
2.按照权利要求1的半导体元件,其特征在于,所述氧化钨层(3′)的相对介电常数(εr)大于100。
3.按照权利要求2的半导体元件,其特征在于,所述氧化钨层(3′)的相对介电常数(εr)大于150。
4.按照权利要求1的半导体元件,其特征在于,所述半导体元件具有由一个含钨层(3)和一个氧化钨层(3′)组成的至少一个层堆。
5.按照权利要求1的半导体元件,其特征在于,所述半导体元件具有由一个氧化钨层(3′)和至少一个势垒层(2)组成的至少一个层堆。
6.按照权利要求4的半导体元件,其特征在于,所述含钨层(3)由钨,硅化钨,或氮化钨形成。
7.按照权利要求5或6的半导体元件,其特征在于,所述势垒层(2)由氧化硅,氮化硅,氧氮化物,氮化钨或氮化钛形成。
8.一种用于制造具有氧化钨层的半导体元件的方法,具有以下步骤:
a)准备一个含钨层;
b)在含氧的环境中热氧化含钨层;
c)在550至1100℃之间的一个温度下使由氧化钨制的层经受热处理,这样生成由具有大于50的相对介电常数(εr)的氧化钨(WOX)制成的层。
9.按照权利要求8的方法,其特征在于,采用由钨,硅化钨,或氮化钨制的层作为所述含钨层。
10.按照权利要求8或9的方法,其特征在于,用CVD法或PVD法准备所述含钨层。
11.按照权利要求8的方法,其特征在于,在500至1200℃的温度下热氧化所述含钨层。
12.按权利要求8的方法,其特征在于,在惰性环境中进行热处理。
13.一种用于制造具有氧化钨层的半导体元件的方法,具有以下步骤:
a)准备半导体元件的一个表面;
b)将氟化钨和水在气体状态下引到表面上,使得生成由氧化钨(WOX)制成的层;
c)由氧化钨(WOx)制成的层经受温度为550至1100℃的热处理。
14.按照权利要求13的方法,其特征在于,在700至1100℃之间的一个温度中进行热处理。
15.按照权利要求13或14的方法,其特征在于,在惰性环境中进行热处理。
16.一种用于制造结构化氧化钨层的方法,具有以下步骤:
a)准备一个氧化钨层;
b)置放掩模到氧化钨层上;
c)在氧化环境中,在高于130℃的一个温度下,按照掩模干刻蚀氧化钨层,其中氧化环境具有至少一种卤素化合物。
17.按照权利要求16的方法,其特征在于,所述卤素化合物是CF4
18.按照权利要求16的方法,其特征在于,
按照具有下述步骤的方法制造所述氧化钨层;
a1)准备一个含钨层,
a2)在含氧的环境中对所述含钨层进行热氧化,
a3)在550至1100℃之间的温度中将由氧化钨制成的层经受热处理,这样生成由具有大于50的相对介电常数(εr)的氧化钨(WOx)制的层。
19.按照权利要求16或17的方法,其特征在于,掩模是一种多晶硅掩模。
20.按照权利要求16的方法,其特征在于,刻蚀温度在200℃和300℃之间。
21.按照权利要求16的方法,其特征在于,氧化环境中的卤素化合物的份额在1和10%之间。
CNB008050295A 1999-01-14 2000-01-05 半导体元件及其制造方法 Expired - Fee Related CN100355085C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE19901210A DE19901210A1 (de) 1999-01-14 1999-01-14 Halbleiterbauelement und Verfahren zu dessen Herstellung
DE19901210.5 1999-01-14

Publications (2)

Publication Number Publication Date
CN1364317A CN1364317A (zh) 2002-08-14
CN100355085C true CN100355085C (zh) 2007-12-12

Family

ID=7894251

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB008050295A Expired - Fee Related CN100355085C (zh) 1999-01-14 2000-01-05 半导体元件及其制造方法

Country Status (8)

Country Link
US (1) US6960541B2 (zh)
EP (1) EP1145279B1 (zh)
JP (1) JP2002534818A (zh)
KR (1) KR100703260B1 (zh)
CN (1) CN100355085C (zh)
DE (2) DE19901210A1 (zh)
TW (1) TW478165B (zh)
WO (1) WO2000041459A2 (zh)

Families Citing this family (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19944012B4 (de) 1999-09-14 2007-07-19 Infineon Technologies Ag Grabenkondensator mit Kondensatorelektroden und entsprechendes Herstellungsverfahren
WO2002005349A1 (en) 2000-07-12 2002-01-17 California Institute Of Technology Electrical passivation of silicon-containing surfaces using organic layers
DE10043731C2 (de) 2000-09-05 2003-06-26 Infineon Technologies Ag Meßsonde, deren Verwendung und Herstellung und Meßsystem zum Erfassen von elektrischen Signalen in einer integrierten Halbleiterschaltung
DE10054936C1 (de) * 2000-11-06 2002-04-25 Infineon Technologies Ag Herstellungsverfahren für eine integrierte Schaltung unter zumindest teilweisem Umwandeln einer Oxidschicht in eine leitfähige Schicht
US7378719B2 (en) 2000-12-20 2008-05-27 Micron Technology, Inc. Low leakage MIM capacitor
CN101159284B (zh) * 2007-09-13 2010-09-29 复旦大学 一种自对准形成上电极的wox电阻存储器及其制造方法
CN101826595B (zh) * 2009-03-03 2013-02-27 复旦大学 一种WOx基电阻型存储器及其制备方法
CN102169956B (zh) * 2010-02-25 2013-04-17 复旦大学 一种氧化钨基电阻型存储器及其制备方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) * 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111977629A (zh) * 2019-05-21 2020-11-24 中国科学院宁波材料技术与工程研究所 一种氮化钨包覆碳球并在碳球上原位生成氮化钨纳米棒的合成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0418540A2 (en) * 1989-08-11 1991-03-27 Sanyo Electric Co., Ltd Dry etching method
JPH07145202A (ja) * 1993-11-22 1995-06-06 Mitsui Toatsu Chem Inc ポリ−α−オレフィンの製造方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5656636A (en) * 1979-10-13 1981-05-18 Mitsubishi Electric Corp Processing method of fine pattern
JPS59132136A (ja) * 1983-01-19 1984-07-30 Hitachi Ltd 半導体装置の製造方法
US4522936A (en) * 1983-03-21 1985-06-11 Phillips Petroleum Company Metathesis catalyst
US4965594A (en) * 1986-02-28 1990-10-23 Canon Kabushiki Kaisha Liquid jet recording head with laminated heat resistive layers on a support member
US5189503A (en) * 1988-03-04 1993-02-23 Kabushiki Kaisha Toshiba High dielectric capacitor having low current leakage
FR2636472B1 (fr) * 1988-09-09 1990-11-30 France Etat Procede de formation autoalignee de siliciure de tungstene
US5420060A (en) * 1988-11-14 1995-05-30 Texas Instruments Incorporated Method of making contract-free floating-gate memory array with silicided buried bitlines and with single-step defined floating gates
US5089432A (en) * 1990-08-17 1992-02-18 Taiwan Semiconductor Manufacturing Company Polycide gate MOSFET process for integrated circuits
KR920009165B1 (ko) 1990-11-20 1992-10-14 삼성전기 주식회사 회토류-철계 자석의 제조방법
US5541131A (en) * 1991-02-01 1996-07-30 Taiwan Semiconductor Manufacturing Co. Peeling free metal silicide films using ion implantation
JP3047256B2 (ja) * 1991-06-13 2000-05-29 株式会社豊田中央研究所 誘電体薄膜
JPH05109982A (ja) * 1991-10-18 1993-04-30 Sharp Corp 半導体装置及びその製造方法
US5317190A (en) * 1991-10-25 1994-05-31 International Business Machines Corporation Oxygen assisted ohmic contact formation to N-type gallium arsenide
KR940002740A (ko) 1992-07-02 1994-02-19 이희종 음료자동판매기의 원료굳음 방지장치
CA2074848C (en) * 1992-07-29 1998-02-10 Joseph P. Ellul Method of forming electrodes for trench capacitors
US5348894A (en) * 1993-01-27 1994-09-20 Texas Instruments Incorporated Method of forming electrical connections to high dielectric constant materials
JPH0758290A (ja) * 1993-08-09 1995-03-03 Asahi Chem Ind Co Ltd 半導体装置の製造方法
JPH07135202A (ja) * 1993-11-09 1995-05-23 Miyagi Oki Denki Kk 半導体装置の製造方法
JP3407086B2 (ja) * 1994-06-17 2003-05-19 日本テキサス・インスツルメンツ株式会社 半導体装置の製造方法
CA2178091A1 (en) * 1994-10-04 1996-04-11 Robertus Adrianus Maria Wolters Semiconductor device comprising a ferroelectric memory element with a lower electrode provided with an oxygen barrier
US5547892A (en) * 1995-04-27 1996-08-20 Taiwan Semiconductor Manufacturing Company Process for forming stacked contacts and metal contacts on static random access memory having thin film transistors
CA2226015A1 (en) * 1995-07-03 1997-01-23 Jeewika Chandanie Ranaweera Method of fabricating a fast programming flash e2prom cell
US5830575A (en) * 1996-09-16 1998-11-03 Sandia National Laboratories Memory device using movement of protons
JPH10223900A (ja) * 1996-12-03 1998-08-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
US6498097B1 (en) * 1997-05-06 2002-12-24 Tong Yang Cement Corporation Apparatus and method of forming preferred orientation-controlled platinum film using oxygen
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6001718A (en) * 1997-09-30 1999-12-14 Kabushiki Kaisha Toshiba Semiconductor device having a ternary compound low resistive electrode
TW411615B (en) * 1997-12-04 2000-11-11 Fujitsu Ltd Semiconductor device and method of manufacturing the same
US6180481B1 (en) * 1998-01-09 2001-01-30 Micron Technology, Inc. Barrier layer fabrication methods
US6291868B1 (en) * 1998-02-26 2001-09-18 Micron Technology, Inc. Forming a conductive structure in a semiconductor device
JP3568385B2 (ja) * 1998-03-16 2004-09-22 沖電気工業株式会社 半導体装置の製造方法
TW377461B (en) * 1998-06-19 1999-12-21 Promos Technologies Inc Method of manufacturing gates
US6168958B1 (en) * 1998-08-07 2001-01-02 Advanced Micro Devices Inc. Semiconductor structure having multiple thicknesses of high-K gate dielectrics and process of manufacture therefor
US6140167A (en) * 1998-08-18 2000-10-31 Advanced Micro Devices, Inc. High performance MOSFET and method of forming the same using silicidation and junction implantation prior to gate formation
US6204203B1 (en) * 1998-10-14 2001-03-20 Applied Materials, Inc. Post deposition treatment of dielectric films for interface control

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0418540A2 (en) * 1989-08-11 1991-03-27 Sanyo Electric Co., Ltd Dry etching method
JPH07145202A (ja) * 1993-11-22 1995-06-06 Mitsui Toatsu Chem Inc ポリ−α−オレフィンの製造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JOURNAL OF APPLIED PHYSICS 1992.02.01 *

Also Published As

Publication number Publication date
US20020070414A1 (en) 2002-06-13
EP1145279B1 (de) 2008-04-09
CN1364317A (zh) 2002-08-14
TW478165B (en) 2002-03-01
KR100703260B1 (ko) 2007-04-03
KR20010101512A (ko) 2001-11-14
WO2000041459A2 (de) 2000-07-20
DE19901210A1 (de) 2000-07-27
US6960541B2 (en) 2005-11-01
EP1145279A2 (de) 2001-10-17
WO2000041459A3 (de) 2001-05-10
DE50015090D1 (de) 2008-05-21
JP2002534818A (ja) 2002-10-15

Similar Documents

Publication Publication Date Title
CN100355085C (zh) 半导体元件及其制造方法
US7029985B2 (en) Method of forming MIS capacitor
US20010044187A1 (en) Method for forming gate electrode of flash memory
KR100422565B1 (ko) 반도체 소자의 캐패시터 제조방법
JP2003100908A (ja) 高誘電膜を備えた半導体素子及びその製造方法
US6077737A (en) Method for forming a DRAM having improved capacitor dielectric layers
GB2364825A (en) A capacitor using a tantalum nitride dielectric
KR100497142B1 (ko) 반도체 소자의 캐패시터 제조방법
KR100417855B1 (ko) 반도체소자의 캐패시터 및 그 제조방법
US6525364B1 (en) Capacitor for semiconductor memory device and method of manufacturing the same
US6740553B1 (en) Capacitor for semiconductor memory device and method of manufacturing the same
KR100321178B1 (ko) TaON박막을 갖는 커패시터 제조방법
KR100373159B1 (ko) 반도체 소자의 캐패시터 제조방법
JP2001057414A (ja) 半導体メモリ素子のキャパシタ及びその製造方法
US6448128B1 (en) Capacitor for semiconductor memory device and method of manufacturing the same
US6479344B2 (en) Method of fabricating DRAM capacitor
JP2001053255A (ja) 半導体メモリ素子のキャパシタの製造方法
KR100293721B1 (ko) 탄탈륨 산화막을 유전막으로 갖는 캐패시터 제조방법
KR100342868B1 (ko) 커패시터 제조방법
KR100414868B1 (ko) 캐패시터의 제조 방법
KR100504434B1 (ko) 반도체장치의 커패시터 제조방법
KR20050019304A (ko) 반도체 소자의 캐패시터 및 그 제조 방법
KR100611386B1 (ko) 탄탈륨산화막 커패시터의 제조방법
US20030235999A1 (en) Method for fabricating capacitor in semiconductor device
CN113178478A (zh) 一种铁电薄膜及其沉积方法、应用

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
C41 Transfer of patent application or patent right or utility model
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: Munich, Germany

Patentee after: Infineon Technologies AG

Address before: Munich, Germany

Patentee before: INFINEON TECHNOLOGIES AG

TR01 Transfer of patent right

Effective date of registration: 20120928

Address after: Munich, Germany

Patentee after: QIMONDA AG

Address before: Munich, Germany

Patentee before: Infineon Technologies AG

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20160118

Address after: German Berg, Laura Ibiza

Patentee after: Infineon Technologies AG

Address before: Munich, Germany

Patentee before: QIMONDA AG

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20071212

Termination date: 20170105

CF01 Termination of patent right due to non-payment of annual fee