TW202201405A - 記憶體陣列及其製造方法 - Google Patents

記憶體陣列及其製造方法 Download PDF

Info

Publication number
TW202201405A
TW202201405A TW110101056A TW110101056A TW202201405A TW 202201405 A TW202201405 A TW 202201405A TW 110101056 A TW110101056 A TW 110101056A TW 110101056 A TW110101056 A TW 110101056A TW 202201405 A TW202201405 A TW 202201405A
Authority
TW
Taiwan
Prior art keywords
contact
dielectric
conductive
word line
line
Prior art date
Application number
TW110101056A
Other languages
English (en)
Other versions
TWI737565B (zh
Inventor
林孟漢
晨晨 王
劉逸青
漢中 賈
世海 楊
林佑明
奕 王
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI737565B publication Critical patent/TWI737565B/zh
Publication of TW202201405A publication Critical patent/TW202201405A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/14Word line organisation; Word line lay-out
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/10Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/50Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the boundary region between the core and peripheral circuit regions
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • G11C5/063Voltage and signal distribution in integrated semi-conductor memory access lines, e.g. word-line, bit-line, cross-over resistance, propagation delay
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1222Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer
    • H01L27/1225Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer with semiconductor materials not belonging to the group IV of the periodic table, e.g. InGaZnO

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Semiconductor Memories (AREA)

Abstract

本發明實施例揭露三維記憶體陣列的佈線排列及形成三維記憶體陣列的方法。在實施例中,記憶體陣列包括:鐵電材料,與第一字元線接觸;氧化物半導體層,與源極線及位元線接觸,鐵電材料設置於氧化物半導體層與第一字元線之間;介電材料,與鐵電材料接觸,鐵電材料位於介電材料與第一字元線之間;金屬間介電質,位於第一字元線之上;第一接觸件,穿過金屬間介電質延伸至第一字元線,第一接觸件電性耦合至第一字元線;第二接觸件,延伸穿過介電材料及鐵電材料;以及第一導電線,將第一接觸件電性耦合至第二接觸件。

Description

記憶體陣列字元線佈線
在電子應用(例如包括無線電、電視、手機及個人電腦)的積體電路中使用半導體記憶體。半導體記憶體包括兩大類別。一個類別是揮發性記憶體;另一類別是非揮發性記憶體。揮發性記憶體包括隨機存取記憶體(random access memory,RAM),隨機存取記憶體可被進一步劃分成兩個子類別:靜態隨機存取記憶體(static random access memory,SRAM)及動態隨機存取記憶體(dynamic random access memory,DRAM)。由於SRAM及DRAM在斷電時將丟失其所儲存的資訊,因此SRAM及DRAM二者皆是揮發性的。
另一方面,非揮發性記憶體可保存其所儲存的資料。一種類型的非揮發性半導體記憶體是鐵電隨機存取記憶體(ferroelectric random access memory,FERAM或FRAM)。FERAM的優點包括寫入/讀取速度快且尺寸小。
以下揭露提供用於實施本發明的不同特徵的許多不同實施例或實例。以下闡述組件及排列的具體實例以簡化本揭露。當然,該些僅為實例且不旨在進行限制。舉例而言,以下說明中將第一特徵形成於第二特徵「之上」或第二特徵「上」可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本揭露可能在各種實例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「位於、、、之下(beneath)」、「位於、、、下方(below)」、「下部的(lower)」、「位於、、、上方(above)」、「上部的(upper)」等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外亦囊括裝置在使用或操作中的不同定向。設備可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
各種實施例為具有多個垂直堆疊的記憶胞的三維(three-dimensional,3D)記憶體陣列提供佈線。堆疊的記憶胞可垂直地堆疊於互補金屬氧化物半導體(complementary metal oxide semiconductor,CMOS)下陣列(CMOS under array,CUA)之上,CUA可為邏輯晶粒。堆疊的記憶胞包括以階梯結構在與CUA的主表面平行的方向上延伸的字元線,其中字元線的相應的長度在遠離CUA的方向上減小。介電材料可將相鄰的字元線彼此隔開且將相鄰的字元線垂直地隔離開及水平地隔離開,並且可在字元線之上形成金屬間介電質(inter-metal dielectric,IMD)。相應的字元線可藉由接觸件電性耦合至CUA,所述接觸件在相應的字元線之上延伸穿過層間介電質(inter-layer dielectric,ILD)、在與字元線延伸的方向垂直的第一方向上在3D記憶體陣列之上延伸且延伸穿過3D記憶體陣列本身(例如在第一方向上穿過與字元線相鄰的介電材料)。藉由以此種方式佈線的接觸件將字元線耦合至CUA需要較傳統製程少的掩蔽步驟,此會節省時間及費用。另外,傳統製程可能與面積規則(area penalty)相關聯,而藉由穿過與字元線相鄰的介電材料對接觸件進行佈線會節省所述面積。因此,3D記憶體陣列在更小的面積中進行佈線。
圖1A及圖1B例示出根據一些實施例的記憶體陣列200的實例。圖1A例示出根據一些實施例的三維視圖中的記憶體陣列200的一部分的實例,且圖1B例示出記憶體陣列200的電路圖。記憶體陣列200包括多個記憶胞202,所述多個記憶胞202可排列成列及行的柵格。記憶胞202可進一步垂直地堆疊以提供三維記憶體陣列,進而增大裝置密度。可在半導體晶粒的後段製程(back end of line,BEOL)中設置記憶體陣列200。舉例而言,記憶體陣列200可設置於半導體晶粒的內連線層中(例如形成於半導體基底上的一或多個主動裝置(例如,電晶體)上方)。
在一些實施例中,記憶體陣列200是鐵電隨機存取記憶體(FERAM)、非或記憶體陣列(NOR memory array)等。記憶胞202中的每一者可包括具有鐵電(ferroelectric,FE)材料90作為閘極介電質的電晶體204。在一些實施例中,電晶體204可為薄膜電晶體等。在一些實施例中,每一電晶體204的閘極電性耦合至相應的字元線(例如,導電線72),每一電晶體204的第一源極/汲極區電性耦合至相應的位元線(例如,導電線106),且每一電晶體204的第二源極/汲極區電性耦合至相應的源極線(例如,導電線108),所述源極線將第二源極/汲極區電性耦合至地。記憶體陣列200的同一水平列中的記憶胞202可共享共用字元線,而記憶體陣列200的同一垂直行中的記憶胞202可共享共用源極線及共用位元線。
記憶體陣列200包括多條垂直堆疊的導電線72(例如,字元線),其中在導電線72中的相鄰的導電線72之間設置有介電層52。導電線72在與下伏的基底(未在圖1A及圖1B中單獨例示)的主表面平行的方向上延伸,所述基底可為CUA(例如邏輯晶粒)。導電線72可具有階梯配置,使得下部的導電線72長於上部的導電線72且在側向上延伸超過上部的導電線72的端點。舉例而言,在圖1A中,例示出導電線72的多個堆疊層,其中最頂部導電線72是最短的且最底部導電線72是最長的。導電線72的相應的長度可在朝向下伏的基底的方向上增大。以此種方式,可自記憶體陣列200上方觸及導電線72中的每一者的一部分,且可對導電線72中的每一者的被暴露出的部分進行導電接觸。
記憶體陣列200更包括多條導電線106(例如,位元線)及多條導電線108(例如,源極線)。導電線106及導電線108可各自在與導電線72垂直的方向上延伸。在導電線106及導電線108中的相鄰的導電線106與導電線108之間設置有介電材料102且介電材料102將所述相鄰的導電線106與導電線108隔離開。成對的導電線106及導電線108與相交的導電線72一起界定每一記憶胞202的邊界,並且在相鄰的成對的導電線106及導電線108之間設置有介電材料98且介電材料98將所述相鄰的成對的導電線106及導電線108隔離開。在一些實施例中,導電線108電性耦合至地。儘管圖1A例示出導電線106相對於導電線108的特定佈置,然而應理解,在其他實施例中,可對導電線106及導電線108的佈置進行對調。
記憶體陣列200亦可包括氧化物半導體(oxide semiconductor,OS)層92。OS層92可為記憶胞202的電晶體204提供通道區。舉例而言,當藉由對應的導電線72施加適當的電壓(例如,高於對應的電晶體204的相應的臨限電壓(Vth ))時,OS層92的與導電線72相交的區可使得電流能夠自導電線106流動至導電線108(例如,在箭頭206所指示的方向上)。
FE材料90設置於導電線72與OS層92之間,且FE材料90可為電晶體204提供閘極介電質。因此,記憶體陣列200亦可被稱為鐵電隨機存取記憶體(FERAM)陣列。FE材料90可在兩個不同的方向中的一個方向上極化,且可藉由在FE材料90兩端施加適當的電壓差動並產生適當的電場來改變極化方向。極化可相對局部化(例如,一般包含於記憶胞202的每一邊界內),且FE材料90的連續的區可延伸跨越多個記憶胞202。依據FE材料90的特定區的極化方向而定,對應的電晶體204的臨限電壓會發生變化,且可儲存數位值(例如,0或1)。舉例而言,當FE材料90的區具有第一電性極化方向時,所述對應的電晶體204可具有相對低的臨限電壓,且當FE材料90的區具有第二電性極化方向時,所述對應的電晶體204可具有相對高的臨限電壓。所述兩個臨限電壓之間的差可被稱為臨限電壓移位。較大的臨限電壓移位使得讀取儲存於對應的記憶胞202中的數位值更容易(例如,更不容易出現錯誤)。
為對記憶胞202執行寫入操作,在FE材料90的與記憶胞202對應的一部分兩端施加寫入電壓。可例如藉由向對應的導電線72(例如,對應的字元線)及對應的導電線106及導電線108(例如,對應的位元線及源極線)施加適當的電壓來施加寫入電壓。藉由在FE材料90的所述一部分兩端施加寫入電壓,可改變FE材料90的區的極化方向。因此,所述對應的電晶體204的對應的臨限電壓可自低臨限電壓切換至高臨限電壓或者可自高臨限電壓切換至低臨限電壓,且數位值可被儲存於記憶胞202中。由於導電線72與導電線106及導電線108相交,因此可為寫入操作選擇各別的記憶胞202。
為對記憶胞202執行讀取操作,向對應的導電線72(例如,對應的字元線)施加讀取電壓(例如,低臨限電壓與高臨限電壓之間的電壓)。依據FE材料90的對應的區的極化方向而定,可接通或可不接通記憶胞202的電晶體204。因此,對應的導電線106可藉由對應的導電線108(例如,耦合至地的對應的源極線)放電或可不放電,且可確定儲存於記憶胞202中的數位值。由於導電線72與導電線106及導電線108相交,因此可為讀取操作選擇各別的記憶胞202。
圖1A進一步例示出用於後面的圖中的記憶體陣列200的參考橫截面。橫截面A-A’沿著導電線72的縱向軸線且位於例如與電流流動跨越電晶體204的OS層92的方向平行的方向上。橫截面B-B’垂直於橫截面A-A’、導電線72的縱向軸線以及導電線106及導電線108的縱向軸線。橫截面B-B’延伸穿過介電材料98及介電材料102。橫截面C-C’平行於橫截面B-B’且延伸穿過導電線106。為清晰起見,後續的圖是指該些參考橫截面。橫截面D-D’平行於橫截面B-B’且延伸穿過記憶體陣列200的階梯區中的導電線106。橫截面E-E’平行於橫截面A-A’且延伸穿過介電材料102。
圖2至圖36是根據一些實施例的在製造記憶體陣列200中的中間階段的視圖。圖2至圖14、圖15B、圖16B、圖17B、圖18B、圖19B、圖20B、圖21B、圖22B、圖23B、圖24B、圖25B、圖26B、圖27B、圖31B、圖32B及圖33B是沿著圖1A中所示的參考橫截面A-A’例示出。圖15C、圖16C、圖17C、圖18C、圖19C、圖20C、圖21C、圖22C、圖23C、圖24C、圖25C及圖32D是沿著圖1A中所示的參考橫截面B-B’例示出。圖24D、圖25D、圖28B及圖32E是沿著圖1A中所示的參考橫截面C-C’例示出。圖26D、圖27D及圖33D是沿著圖1A中所示的參考橫截面D-D’例示出。圖26C、圖27C、圖32C及圖33C是沿著圖1A中所示的參考橫截面E-E’例示出。圖15A、圖16A、圖17A、圖18A、圖19A、圖20A、圖21A、圖22A、圖23A、圖24A、圖25A、圖25F、圖26A、圖27A、圖28A、圖29、圖30、圖31A、圖32A、圖33A、圖34、圖35及圖36例示出俯視圖。圖25E及圖32F例示出透視圖。
在圖2中,提供基底50。基底50可為半導體基底(例如塊狀半導體、絕緣體上半導體(semiconductor-on-insulator,SOI)基底等),所述半導體基底可為經摻雜的(例如,使用p型摻雜劑或n型摻雜劑)或未經摻雜的。基底50可為積體電路晶粒,例如邏輯晶粒、記憶體晶粒、特殊應用積體電路(application-specific integrated circuit,ASIC)晶粒等。基底50可為互補金屬氧化物半導體(CMOS)晶粒且可被稱為CMOS下陣列(CUA)。基底50可為晶圓,例如矽晶圓。一般而言,SOI基底是形成於絕緣體層上的半導體材料層。絕緣體層可為例如掩埋氧化物(buried oxide,BOX)層、氧化矽層等。絕緣體層設置於基底(通常是矽或玻璃基底)上。亦可使用其他基底,例如多層式基底(multi-layered substrate)或梯度基底(gradient substrate)。在一些實施例中,基底50的半導體材料可包括:矽;鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括矽鍺、砷磷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦及/或砷磷化鎵銦;或其組合。
圖2進一步例示出可形成於基底50之上的電路。所述電路包括位於基底50的頂表面處的電晶體。電晶體可包括位於基底50的頂表面之上的閘極介電層302及位於閘極介電層302之上的閘極電極304。在基底50中在閘極介電層302及閘極電極304的相對兩側上設置有源極/汲極區306。閘極間隙壁308沿著閘極介電層302的側壁形成且將源極/汲極區306與閘極電極304隔開適當的側向距離。電晶體可包括鰭型場效電晶體(fin field effect transistor,FinFET)、奈米結構(例如,奈米片、奈米線、環繞式閘極(gate-all-around)等)、FET(奈米FET)、平面FET、類似結構或其組合,且可藉由先閘極製程(gate-first process)或後閘極製程(gate-last process)形成電晶體。
第一ILD 310環繞源極/汲極區306、閘極介電層302及閘極電極304且將源極/汲極區306、閘極介電層302及閘極電極304隔離開,且第二ILD 312位於第一ILD 310之上。源極/汲極接觸件314延伸穿過第二ILD 312及第一ILD 310且電性耦合至源極/汲極區306,且閘極接觸件316延伸穿過第二ILD 312且電性耦合至閘極電極304。在第二ILD 312、源極/汲極接觸件314及閘極接觸件316之上具有包括一或多個堆疊的介電層324與形成於所述一或多個介電層324中的導電特徵322的內連線結構320。內連線結構320可電性連接至閘極接觸件316及源極/汲極接觸件314,以形成功能電路。在一些實施例中,由內連線結構320形成的功能電路可包括邏輯電路、記憶體電路、感測放大器、控制器、輸入/輸出電路、影像感測器電路、類似電路或其組合。儘管圖2論述了形成於基底50之上的電晶體,然而亦可形成其他主動裝置(例如,二極體等)及/或被動裝置(例如,電容器、電阻器等)作為功能電路的部件。
在圖3中,在圖2所示結構之上形成多層堆疊58。出於簡單及清晰的目的,可自後續的圖式省略基底50、電晶體、ILD及內連線結構320。儘管多層堆疊58例示為與內連線結構320的介電層324接觸,然而可在基底50與多層堆疊58之間設置任意數目的中間層。舉例而言,可在基底50與多層堆疊58之間設置包括位於絕緣層(例如,低介電常數(low-k)介電層)中的導電特徵的一或多個內連線層。在一些實施例中,可將導電特徵圖案化以為基底50及/或記憶體陣列200(參見圖1A及圖1B)上的主動裝置提供電源、接地及/或訊號線。
多層堆疊58包括導電層54A至54D(被統稱為導電層54)與介電層52A至52E(被統稱為介電層52)的交替層。在後續步驟中可將導電層54圖案化以界定導電線72(例如,字元線)。導電層54可包含導電材料,例如銅、鈦、氮化鈦、鉭、氮化鉭、鎢、釕、鋁、鈷、銀、金、鎳、鉻、鉿、鉑、其組合等。介電層52可包含絕緣材料,例如氧化矽、氮化矽、氮氧化矽、其組合等。導電層54及介電層52可各自使用例如化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)、物理氣相沉積(physical vapor deposition,PVD)、電漿增強型化學氣相沉積(plasma enhanced CVD,PECVD)等形成。儘管圖3例示出特定數目的導電層54及介電層52,然而其他實施例可包括不同數目的導電層54及介電層52。
在圖4中,在多層堆疊58之上形成光阻56。可藉由使用旋轉塗佈技術(spin-on technique)形成光阻56,且可使用可接受的微影技術(photolithography technique)將光阻56圖案化。將光阻56圖案化可在區60中暴露出多層堆疊58,同時掩蔽多層堆疊58的其餘部分。舉例而言,可在區60中暴露出多層堆疊58的最頂層(例如,介電層52E)。
在圖5中,使用光阻56作為罩幕對多層堆疊58在區60中被暴露出的部分進行蝕刻。所述蝕刻可為任何可接受的蝕刻製程,例如濕式蝕刻或乾式蝕刻、反應性離子蝕刻(reactive ion etching,RIE)、中性束蝕刻(neutral beam etching,NBE)、類似蝕刻或其組合。所述蝕刻可為非等向性的。所述蝕刻可移除介電層52E及導電層54D的位於區60中的部分且界定開口61。由於介電層52E與導電層54D具有不同的材料組成,因此用於移除該些層的被暴露出的部分的蝕刻劑可不同。在一些實施例中,在蝕刻介電層52E時導電層54D充當蝕刻停止層,且在蝕刻導電層54D時介電層52D充當蝕刻停止層。因此,可選擇性地移除介電層52E及導電層54D的部分,而不移除多層堆疊58的剩餘層,且開口61可延伸至期望的深度。作為另外一種選擇,在開口61達到期望的深度之後,可使用定時蝕刻製程來停止開口61的蝕刻。在所得結構中,介電層52D在區60中被暴露出。
在圖6中,對光阻56進行修整以暴露出多層堆疊58的附加部分。可使用可接受的微影技術來修整光阻56。作為修整的結果,光阻56的寬度減小,且多層堆疊58的位於區60及區62中的部分可被暴露出。舉例而言,介電層52D的頂表面可在區60中被暴露出,且介電層52E的頂表面可在區62中被暴露出。
在圖7中,藉由使用光阻56作為罩幕的可接受的蝕刻製程移除介電層52E、導電層54D、介電層52D及導電層54C的位於區60及區62中的部分。所述蝕刻可為任何可接受的蝕刻製程,例如濕式蝕刻或乾式蝕刻、RIE、NBE、類似蝕刻或其組合。所述蝕刻可為非等向性的。蝕刻可使開口61進一步延伸至多層堆疊58中。由於導電層54D及54C與介電層52E及52D具有不同的材料組成,因此用於移除該些層的被暴露出的部分的蝕刻劑可不同。在一些實施例中,在蝕刻介電層52E時導電層54D充當蝕刻停止層;在蝕刻導電層54D時介電層52D充當蝕刻停止層;在蝕刻介電層52D時導電層54C充當蝕刻停止層;且在蝕刻導電層54C時介電層52C充當蝕刻停止層。因此,可選擇性地移除導電層54D及54C以及介電層52E及52D的部分,而不移除多層堆疊58的剩餘層,且開口61可延伸至期望的深度。此外,在蝕刻製程期間,導電層54及介電層52的未被蝕刻的部分充當下伏層的罩幕,且因此介電層52E及導電層54D的先前圖案(參見圖6)可轉移至下伏的介電層52D及下伏的導電層54C。在所得結構中,介電層52C在區60中被暴露出,且介電層52D在區62中被暴露出。
在圖8中,對光阻56進行修整以暴露出多層堆疊58的附加部分。可使用可接受的微影技術來修整光阻。作為修整的結果,光阻56的寬度減小,且多層堆疊58的位於區60、區62及區64中的部分可被暴露出。舉例而言,介電層52C的頂表面可在區60中被暴露出;介電層52D的頂表面可在區62中被暴露出;且介電層52E的頂表面可在區64中被暴露出。
在圖9中,藉由使用光阻56作為罩幕的可接受的蝕刻製程移除介電層52E、52D及52C以及導電層54D、54C及54B的位於區60、區62及區64中的部分。所述蝕刻可為任何可接受的蝕刻製程,例如濕式蝕刻或乾式蝕刻、RIE、NBE、類似蝕刻或其組合。所述蝕刻可為非等向性的。蝕刻可使開口61進一步延伸至多層堆疊58中。由於介電層52C至52E與導電層54B至54D具有不同的材料組成,因此用於移除該些層的被暴露出的部分的蝕刻劑可不同。在一些實施例中,在蝕刻介電層52E時導電層54D充當蝕刻停止層;在蝕刻導電層54D時介電層52D充當蝕刻停止層;在蝕刻介電層52D時導電層54C充當蝕刻停止層;在蝕刻導電層54C時介電層52C充當蝕刻停止層;在蝕刻介電層52C時導電層54B充當蝕刻停止層;且在蝕刻導電層54B時介電層52B充當蝕刻停止層。因此,可選擇性地移除介電層52C至52E及導電層54B至54D的部分,而不移除多層堆疊58的剩餘層,且開口61可延伸至期望的深度。此外,在蝕刻製程期間,介電層52及導電層54的未被蝕刻的部分充當下伏層的罩幕,且因此介電層52E及52D以及導電層54D及54C的先前圖案(參見圖8)可轉移至下伏的介電層52D及52C以及下伏的導電層54C及54B。在所得結構中,介電層52B在區60中被暴露出;介電層52C在區62中被暴露出;且介電層52D在區64中被暴露出。
在圖10中,對光阻56進行修整以暴露出多層堆疊58的附加部分。可使用可接受的微影技術來修整光阻。作為修整的結果,光阻56的寬度減小,且多層堆疊58的位於區60、區62、區64及區66中的部分可被暴露出。舉例而言,介電層52B的頂表面可在區60中被暴露出;介電層52C的頂表面可在區62中被暴露出;介電層52D的頂表面可在區64中被暴露出;且介電層52E的頂表面可在區66中被暴露出。
在圖11中,藉由使用光阻56作為罩幕的可接受的蝕刻製程移除介電層52E、52D、52C及52B的位於區60、區62、區64及區66中的部分。所述蝕刻可為任何可接受的蝕刻製程,例如濕式蝕刻或乾式蝕刻、RIE、NBE、類似蝕刻或其組合。所述蝕刻可為非等向性的。蝕刻可使開口61進一步延伸至多層堆疊58中。在一些實施例中,在蝕刻介電層52E時導電層54D充當蝕刻停止層;在蝕刻介電層52D時導電層54C充當蝕刻停止層;在蝕刻介電層52C時導電層54B充當蝕刻停止層;且在蝕刻介電層52B時導電層54A充當蝕刻停止層。因此,可選擇性地移除介電層52B至52E的部分,而不移除多層堆疊58的剩餘層,且開口61可延伸至期望的深度。此外,在蝕刻製程期間,導電層54的未被蝕刻的部分充當下伏層的罩幕,且因此導電層54B至54D的先前圖案(參見圖10)可轉移至下伏的介電層52B至52D。在所得結構中,導電層54A在區60中被暴露出;導電層54B在區62中被暴露出;導電層54C在區64中被暴露出;且導電層54D在區66中被暴露出。
在圖12中,可例如藉由可接受的灰化製程(ashing process)或濕式剝離製程(wet strip process)移除光阻56。因此便形成了階梯結構68。階梯結構68包括導電層54及介電層52中的交替的導電層54與介電層52的堆疊。下部的導電層54長於上部的導電層54且在側向上延伸超過上部的導電層54,且導電層54中的每一者的寬度在朝向基底50的方向上增大。因此,在後續的處理步驟中,可進行自階梯結構68上方至導電層54中的每一者的導電接觸。
在圖13中,在多層堆疊58之上沉積金屬間介電質(IMD)70。IMD 70可由介電材料形成且可藉由任何合適的方法(例如CVD、PECVD、可流動化學氣相沉積(flowable CVD,FCVD)等)沉積。介電材料可包括磷矽酸鹽玻璃(phospho-silicate glass,PSG)、硼矽酸鹽玻璃(boro-silicate glass,BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phospho-silicate glass,BPSG)、未經摻雜的矽酸鹽玻璃(undoped silicate glass,USG)等。在一些實施例中,IMD 70可包含氧化物(例如,氧化矽等)、氮化物(例如,氮化矽等)、其組合等。可使用藉由任何可接受的製程形成的其他介電材料。IMD 70沿著導電層54B至54D的側壁及介電層52B至52E的側壁延伸。此外,IMD 70可與導電層54A至54D的頂表面及介電層52E的頂表面接觸。
在圖14中,對IMD 70應用移除製程,以移除多層堆疊58之上的過多介電材料。在一些實施例中,移除製程可為平坦化製程,例如化學機械研磨(chemical mechanical polish,CMP)、回蝕製程(etch-back process)、其組合等。平坦化製程暴露出多層堆疊58,使得在完成平坦化製程之後,多層堆疊58的頂表面與IMD 70的頂表面齊平。
在圖15A至圖17C中,在多層堆疊58中形成溝渠,進而界定導電線72。導電線72可對應於記憶體陣列200中的字元線,且導電線72可為記憶體陣列200的所得電晶體204提供閘極電極。在圖15A至圖18C中,以「A」結尾的圖例示出俯視圖,以「B」結尾的圖例示出沿著圖1A所示橫截面A-A’所沿的剖面線的剖視圖,且以「C」結尾的圖例示出沿著圖1A所示橫截面B-B’所沿的剖面線的剖視圖。
在圖15A至圖15C中,在多層堆疊58及IMD 70之上沉積硬罩幕80。硬罩幕80可包含例如氮化矽、氮氧化矽等,可藉由CVD、PVD、ALD、PECVD等沉積硬罩幕80。在硬罩幕80之上形成光阻82並將光阻82圖案化。可使用旋轉塗佈技術形成硬罩幕80,且可使用可接受的微影技術將硬罩幕80圖案化。
在圖16A至圖16C中,使用可接受的蝕刻製程(例如濕式蝕刻或乾式蝕刻、RIE、NBE、類似蝕刻或其組合)將光阻82的圖案轉移至硬罩幕80。所述蝕刻可為非等向性的。因此,在硬罩幕80中形成溝渠86。
此外,在圖16A至圖16C中,使用一或多種可接受的蝕刻製程(例如濕式蝕刻或乾式蝕刻、RIE、NBE、類似蝕刻或其組合)將硬罩幕80的圖案轉移至多層堆疊58。所述蝕刻製程可為非等向性的。因此,形成延伸穿過多層堆疊58的溝渠86。導電線72A至72D(例如,字元線,被統稱為導電線72)由導電層54A至54D形成。藉由穿過導電層54對溝渠86進行蝕刻,可將相鄰的導電線72彼此隔開。在圖17A至圖17C中,可藉由可接受的製程(例如濕式蝕刻製程、乾式蝕刻製程、平坦化製程、其組合等)移除硬罩幕80。
圖18A至圖21C例示出在溝渠86中形成電晶體204(參見圖1A)的通道區並將電晶體204的通道區圖案化。在圖18A至圖18C中,在溝渠86中沉積FE材料90、OS層92及第一介電層98A。可沿著導電線72及介電層52的側壁且沿著介電層52E、基底50及IMD 70的頂表面在溝渠86中共形地沉積FE材料90。FE材料90可包含能夠藉由在FE材料90兩端施加適當的電壓差動而在兩個不同的極化方向之間切換的材料。舉例而言,FE材料90可為高介電常數(high-k)介電材料,例如鉿(Hf)系介電材料等。在一些實施例中,FE材料90包含氧化鉿、氧化鉿鋯、摻雜矽的氧化鉿等。在一些實施例中,FE材料90可包含氧化鋇鈦(BaTiO3 )、氧化鉛鈦(PbTiO3 )、氧化鉛鋯(PbZrO3 )、氧化鋰鈮(LiNbO3 )、氧化鈉鈮(NaNbO3 )、氧化鉀鈮(KNbO3 )、氧化鉀鉭(KTaO3 )、氧化鉍鈧(BiScO3 )、氧化鉍鐵(BiFeO3 )、氧化鉿鉺(Hf1-x Erx O)、氧化鉿鑭(Hf1-x Lax O)、氧化鉿釔(Hf1-x Yx O)、氧化鉿釓(Hf1-x Gdx O)、氧化鉿鋁(Hf1-x Alx O)、氧化鉿鋯(Hf1-x Zrx O)、氧化鉿鈦(Hf1-x Tix O)、氧化鉿鉭(Hf1-x Tax O)、氮化鋁鈧(AlScN)等。在一些實施例中,FE材料90可包含不同的鐵電材料或不同類型的記憶體材料。舉例而言,在一些實施例中,可使用非鐵電材料(例如包括位於兩個SiOx 層之間的SiNx 層的多層記憶體結構(例如,ONO結構))取代FE材料90。可藉由CVD、PVD、ALD、PECVD等沉積FE材料90。
在FE材料90之上在溝渠86中共形地沉積OS層92。OS層92包含適合於為電晶體204(參見圖1A)提供通道區的材料。舉例而言,OS層92可包含氧化鋅(ZnO)、氧化銦鎢(InWO)、氧化銦鎵鋅(InGaZnO)、氧化銦鋅(InZnO)、氧化銦錫(ITO)、多晶矽(poly-Si)、非晶矽(a-Si)、其組合等。可藉由CVD、PVD、ALD、PECVD等沉積OS層92。OS層92可在FE材料90之上沿著溝渠86的側壁及底表面延伸。
在OS層92之上在溝渠86中沉積第一介電層98A。第一介電層98A可包含例如氧化矽、氮化矽、氮氧化矽等,可藉由CVD、PVD、ALD、PECVD等沉積第一介電層98A。第一介電層98A可在OS層92之上沿著溝渠86的側壁及底表面延伸。
在圖19A至圖19C中,在溝渠86中移除第一介電層98A的底部部分及OS層92的底部部分。可使用微影與蝕刻的組合來移除第一介電層98A的底部部分。所述蝕刻可為任何可接受的蝕刻製程,例如濕式蝕刻或乾式蝕刻、RIE、NBE、類似蝕刻或其組合。所述蝕刻可為非等向性的。
接著可使用第一介電層98A作為蝕刻罩幕在溝渠86中穿過OS層92的底部部分進行蝕刻。所述蝕刻可為任何可接受的蝕刻製程,例如濕式蝕刻或乾式蝕刻、RIE、NBE、類似蝕刻或其組合。所述蝕刻可為非等向性的。蝕刻OS層92可暴露出FE材料90的位於溝渠86的底表面上的部分。因此,OS層92的位於溝渠86的相對的側壁上的部分可彼此隔開,此會改善記憶體陣列200的記憶胞202(參見圖1A)之間的隔離。在一些實施例(未單獨例示)中,蝕刻可進一步使溝渠86延伸穿過FE材料90。因此,FE材料90的位於溝渠86的相對的側壁上的部分可彼此隔開,此會進一步改善記憶體陣列200的記憶胞202之間的隔離。
在圖20A至圖20C中,沉積附加的介電材料98B以填充溝渠86的剩餘部分。附加的介電材料98B可由與第一介電層98A的材料相同或相似的材料形成且藉由與第一介電層98A的製程相同或相似的製程形成。附加的介電材料98B及第一介電層98A可被統稱為介電材料98。
在圖21A至圖21C中,對介電材料98、OS層92及FE材料90應用移除製程,以移除多層堆疊58之上的過多材料。在一些實施例中,可利用平坦化製程(例如CMP、回蝕製程、其組合等)。平坦化製程暴露出多層堆疊58,使得在完成平坦化製程之後,多層堆疊58(例如,介電層52E)的頂表面、FE材料90的頂表面、OS層92的頂表面、介電材料98的頂表面及IMD 70的頂表面齊平。
圖22A至圖25D例示出在記憶體陣列200中製造介電材料102、導電線106(例如,位元線)及導電線108(例如,源極線)的中間步驟。導電線106及導電線108可在與導電線72垂直的方向上延伸,使得可為讀取及寫入操作選擇記憶體陣列200的各別的記憶胞202。
在圖22A至圖22C中,穿過介電材料98及OS層92圖案化出溝渠100。可藉由微影與蝕刻的組合在介電材料98及OS層92中圖案化出溝渠100。所述蝕刻可為任何可接受的蝕刻製程,例如濕式蝕刻或乾式蝕刻、RIE、NBE、類似蝕刻或其組合。所述蝕刻可為非等向性的。溝渠100可設置於FE材料90的相對的側壁之間,且溝渠100可將記憶體陣列200中的記憶胞202(參見圖1A)的相鄰的堆疊在實體上隔開。
如圖22A中所示,可藉由將介電材料98及OS層92圖案化而在與IMD 70相鄰的周邊區域中形成溝渠100。隨後可在與IMD 70相鄰的周邊區域中的溝渠100中形成介電材料(例如介電材料102,以下針對圖23A至圖23C進行論述),且隨後可將介電材料圖案化以形成下伏的結構(例如內連線結構320)的導電接觸件(例如接觸件111,以下針對圖27A至圖27D進行論述)。
在圖23A至圖23C中,在溝渠100中沉積介電材料102且介電材料102對溝渠100進行填充。介電材料102可包含例如氧化矽、氮化矽、氮氧化矽等,可藉由CVD、PVD、ALD、PECVD等沉積介電材料102。介電材料102可在OS層92之上沿著溝渠100的側壁及底表面延伸。在沉積之後,可執行平坦化製程(例如,CMP、回蝕等),以移除介電材料102的過多部分。在所得結構中,多層堆疊58的頂表面、FE材料90的頂表面、OS層92的頂表面、介電材料98的頂表面、介電材料102的頂表面及IMD 70的頂表面可實質上齊平(例如,在製程變化內)。
在一些實施例中,介電材料98的材料及介電材料102的材料可被選擇成使得介電材料98及介電材料102可相對於彼此被選擇性地蝕刻。舉例而言,在一些實施例中,介電材料98是氧化物且介電材料102是氮化物。在一些實施例中,介電材料98是氮化物且介電材料102是氧化物。亦可存在其他材料。
在圖24A至圖24D中,穿過介電材料98圖案化出溝渠104。隨後可使用溝渠104形成導電線。可使用微影與蝕刻的組合穿過介電材料98圖案化出溝渠104。所述蝕刻可為任何可接受的蝕刻製程,例如濕式蝕刻或乾式蝕刻、RIE、NBE、類似蝕刻或其組合。所述蝕刻可為非等向性的。蝕刻可使用對介電材料98進行蝕刻而不對介電材料102進行顯著蝕刻的蝕刻劑。溝渠104的圖案可對應於隨後形成的導電線(例如導電線106及導電線108,以下針對圖25A至圖25D進行論述)的圖案。介電材料98的部分可保留在每一對溝渠104之間,且介電材料102可設置於相鄰的對溝渠104之間。
在圖25A至圖25F中,使用導電材料填充溝渠104,以形成導電線106及導電線108。圖25E例示出形成導電線106及導電線108之後的結構的透視圖,出於例示目的,移除IMD 70。導電線106及導電線108可各自包含導電材料,例如銅、鈦、氮化鈦、鉭、氮化鉭、鎢、釕、鋁、鈷、銀、金、鎳、鉻、鉿、鉑、其組合等。可使用例如CVD、ALD、PVD、PECVD等形成導電線106及導電線108。在沉積導電材料之後,可執行平坦化(例如,CMP、回蝕等)以移除導電材料的過多部分,進而形成導電線106及導電線108。在所得結構中,多層堆疊58的頂表面、FE材料90的頂表面、OS層92的頂表面、介電材料98的頂表面、介電材料102的頂表面、IMD 70的頂表面、導電線106的頂表面及導電線108的頂表面可實質上齊平(例如,在製程變化內)。導電線106可對應於記憶體陣列200中的位元線,且導電線108可對應於記憶體陣列200中的源極線。此外,導電線106及導電線108可為記憶體陣列200中的電晶體204提供源極/汲極電極。儘管圖25D例示出僅示出導電線106的剖視圖,然而導電線108的剖視圖可為相似的。
如圖25A及圖25B中所示,記憶體陣列200可包括記憶胞區118A、第一階梯區118B及第二階梯區118C。第一階梯區118B及第二階梯區118C包括IMD 70、介電材料102的部分、FE材料90的部分、導電線72A至72D的部分及介電層52A至52D的部分。記憶胞區118A包括導電線72A至72D的部分、介電層52A至52D的部分、介電層52E、導電線106、導電線108、介電材料98、介電材料102的部分、FE材料90的部分及OS層92。
在一些實施例中,可在記憶體陣列200的區中形成虛設記憶胞202D。舉例而言,圖25F例示出其中在記憶體陣列200的邊界處形成虛設記憶胞202D的實施例。虛設記憶胞202D可包括介電材料98、OS層92及FE材料90,介電材料98、OS層92及FE材料90未被蝕刻形成導電線106、導電線108及介電材料102。
在圖26A至圖26D中,在IMD 70、介電材料102及FE材料90中形成溝渠112及溝渠114。隨後可使用溝渠112及溝渠114形成導電接觸件。更具體而言,隨後可使用溝渠112形成延伸至導電線72的導電接觸件(例如,字元線接觸件、閘極接觸件等),且隨後可使用溝渠114形成延伸至形成於基底50之上的電路的導電接觸件。如圖26B中所示,溝渠112可延伸穿過IMD 70且可暴露出導電線72的頂表面。導電線72的階梯形狀在導電線72中的每一者上提供溝渠112可延伸至的表面。如圖26C中所示,溝渠114可延伸穿過介電材料102及FE材料90。在其中FE材料90的底部部分被移除的實施例中,溝渠114可僅延伸穿過介電材料102。溝渠114可暴露出內連線結構320的導電特徵322的頂表面。可使用微影與蝕刻的組合形成溝渠112及溝渠114。所述蝕刻可為任何可接受的蝕刻製程,例如濕式蝕刻或乾式蝕刻、RIE、NBE、類似蝕刻或其組合。所述蝕刻可為非等向性的。在一些實施例中,可同時形成溝渠112與溝渠114;然而,亦可單獨形成溝渠112與溝渠114。如圖26A及圖26D中所示,溝渠112與溝渠114可在同一橫截面中延伸穿過IMD 70及介電材料102的相鄰的部分,所述橫截面垂直於導電線72的縱向軸線。
在圖27A至圖27D中,在溝渠112中形成接觸件110,在溝渠114中形成接觸件111,在接觸件110及接觸件111之上形成介電層120,且在介電層120中形成導電線116,以將接觸件110電性耦合至接觸件111。接觸件110穿過IMD 70延伸至導電線72且可電性耦合至導電線72。在一些實施例中,接觸件110可被稱為字元線接觸件、閘極接觸件等。接觸件111延伸穿過記憶體陣列200,例如穿過介電材料102及FE材料90。在其中FE材料90的底部部分被移除的實施例中,接觸件111可僅延伸穿過介電材料102。接觸件111可電性耦合至下伏的導電特徵,例如內連線結構320的導電特徵322。
介電層120可形成於接觸件110、接觸件111、IMD 70、介電材料102、介電材料98、介電層52E、導電線106、導電線108、FE材料90及OS層92之上。在圖27B至圖27D中例示出介電層120,但自圖27A省略介電層120,以示出導電線116、接觸件110、接觸件111及下伏的結構之間的連接。導電線116可在IMD 70、介電材料102及FE材料90的表面之上且沿著IMD 70、介電材料102及FE材料90的表面延伸。導電線116將接觸件110電性耦合至接觸件111。儘管介電層120及導電線116被例示為沿著IMD 70、介電材料102及FE材料90的表面延伸,然而導電線116可與IMD 70、介電材料102及FE材料90隔開,且在介電層120及導電線116與IMD 70、介電材料102及FE材料90之間可具有一或多個附加介電層。接觸件110及接觸件111可更延伸穿過所述附加介電層。
導電線72的階梯形狀在導電線72中的每一者上提供用於使接觸件110著陸的表面。可藉由在溝渠112及溝渠114中形成襯層(未單獨例示)(例如擴散障壁層、黏著層等)以及導電材料來形成接觸件110。所述襯層可包含鈦、氮化鈦、鉭、氮化鉭等。所述導電材料可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳等。可執行平坦化製程(例如CMP),以自IMD 70、介電材料102及FE材料90的表面移除過多的材料。可藉由與接觸件110相似或相同的製程及材料形成接觸件111。在一些實施例中,可同時形成溝渠112中的接觸件110與溝渠114中的接觸件111。在一些實施例中,可在溝渠114中形成的接觸件111之前或之後形成溝渠112中的接觸件110。
介電層120可包含介電材料,例如低介電常數介電材料、超低介電常數(extra low-k,ELK)介電材料等。在一些實施例中,介電層120可包含絕緣材料,例如氧化矽、氮化矽、氮氧化矽、其組合等。可使用適當的製程(例如CVD、ALD、PVD、PECVD等)沉積介電層120。
在一些實施例中,可使用鑲嵌製程(damascene process)形成導電線116,在鑲嵌製程中,利用微影技術與蝕刻技術的組合將介電層120圖案化,以形成與導電線116的期望圖案對應的溝渠。可沉積可選的擴散障壁層及/或可選的黏著層,且接著可使用導電材料填充溝渠。適合於障壁層的材料包括鈦、氮化鈦、氧化鈦、鉭、氮化鉭、氧化鉭、其組合等,且適合於導電材料的材料包括銅、銀、金、鎢、鋁、其組合等。在實施例中,可藉由沉積銅或銅合金的晶種層且藉由電鍍填充溝渠來形成導電線116。可使用化學機械平坦化(chemical mechanical planarization,CMP)製程等自介電層120的表面移除過多導電材料,且將介電層120的表面及導電線116的表面平坦化以進行後續處理。
如圖27A及圖27D中所示,導電線116在與導電線72的縱向軸線垂直的方向上在第一階梯區118B及第二階梯區118C中的IMD 70及介電材料102之上延伸。導電線116可藉由介電材料102中的與導電線72相鄰的接觸件111將導電線72電性耦合至內連線結構320。如圖27A中所示,可藉由形成於同一溝渠100(參見圖22A至圖22C)中的介電材料102對平行的導電線72的連接進行佈線,其中在第一階梯區118B中對一組導電線72的連接進行佈線且在第二階梯區118C中對平行的一組導電線72的連接進行佈線。每一組堆疊的導電線72的連接可在第一階梯區118B及第二階梯區118C中交錯,此可改善所述連接之間的隔離。舉例而言,可穿過第一階梯區118B中的介電材料102對與導電線72的堆疊電性耦合的接觸件111進行佈線,且可穿過第二階梯區118C中的介電材料102對與導電線72的相鄰堆疊電性耦合的接觸件111進行佈線。
藉由提供設置於第一階梯區118B及第二階梯區118C內的導電線116來對導電線72與下伏的內連線結構320之間的連接進行佈線需要較傳統設計小的面積,此使得能夠達成更大的裝置密度。所述連接亦可短於傳統設計,此會降低電阻並改善裝置效能。另外,由於可同時形成溝渠112與溝渠114且亦可同時形成接觸件110與接觸件111,因此可使用更少的微影步驟及沉積步驟,此會降低成本並縮短生產時間。
在圖28A及圖28B中,在介電層120中形成接觸件122,在接觸件122及介電層120之上形成介電層126,且在介電層126中形成導電線124。接觸件122可被形成為延伸至導電線106及導電線108(未單獨例示,但其可相似於導電線106)且電性耦合至導電線106及導電線108。在一些實施例中,接觸件122可被稱為源極線接觸件、位元線接觸件等。接觸件122可延伸穿過介電層120。在一些實施例中,接觸件122可更延伸穿過形成於介電層120之上的一或多個附加介電層。可使用與用於形成接觸件110及接觸件111的製程及材料相同或相似的製程及材料來形成接觸件122。
此外,在圖28A及圖28B中,在介電層120及接觸件122之上形成介電層126,並且在接觸件122之上形成導電線124且導電線124電性耦合至接觸件122。在圖28B中例示出介電層120及介電層126,但自圖28A省略介電層120及介電層126,以示出導電線124、接觸件122及下伏的結構之間的連接。可使用與用於形成介電層120的製程及材料相同或相似的製程及材料來形成接觸件122。可使用與用於形成導電線116的製程及材料相同或相似的製程及材料來形成導電線124。導電線124可在與導電線116延伸的方向平行的方向上延伸。導電線124可用於將導電線106及導電線108電性耦合至內連線結構320。如圖28B中所示,導電線124可沿著介電層120的頂表面延伸。
圖29例示出其中接觸件110延伸至第一階梯區118B及第二階梯區118C二者中的導電線72中的每一者的實施例。圖29中所示的實施例為導電線72提供雙倍數目的驅動器且為第一階梯區118B及第二階梯區118C二者中的導電線72中的每一者提供驅動器。導電線116可在第一階梯區118B中在第一方向上自接觸件110延伸至接觸件111,而導電線116在第二階梯區118C中在與第一方向相反的第二方向上自接觸件110延伸至接觸件111。雙面字元線驅動會降低字元線電阻負載,此會改善裝置效能。另外,藉由提供設置於第一階梯區118B及第二階梯區118C內的導電線116來對導電線72與下伏的內連線結構320之間的連接進行佈線需要較傳統設計小的面積,此使得能夠達成更大的裝置密度。所述連接亦可短於傳統設計,此會降低電阻並改善裝置效能。可同時形成溝渠112與溝渠114且可同時形成接觸件110與接觸件111,使得可使用更少的微影步驟及更少的沉積步驟,此會降低成本並縮短生產時間。
圖30例示出其中接觸件110僅延伸至第一階梯區118B中的導電線72中的每一者的實施例。此實施例使得第二階梯區118C能夠用於導電線72等的其他連接,同時提供第一階梯區118B中的導電線72中的每一者的連接。另外,藉由提供設置於第一階梯區118B內的導電線116來對導電線72與下伏的內連線結構320之間的連接進行佈線需要較傳統設計小的面積,此使得能夠達成更大的裝置密度。所述連接亦可短於傳統設計,此會降低電阻並改善裝置效能。可同時形成溝渠112與溝渠114且可同時形成接觸件110與接觸件111,使得可使用更少的微影步驟及更少的沉積步驟,此會降低成本並縮短生產時間。
圖31A及圖31B例示出其中接觸件110僅延伸至第一階梯區118B中的導電線72中的每一者且第一階梯區118B與第二階梯區118C不對稱的實施例。此實施例使得第二階梯區118C能夠用於導電線72等的其他連接,同時提供第一階梯區118B中的導電線72中的每一者的連接。在圖31A及圖31B中所示的實施例中,介電層52C及52D以及導電線72C及72D的位於第二階梯區118C中的部分可具有相同的長度;介電層52B及導電線72B的位於第二階梯區118C中的部分可具有較介電層52C及52D以及導電線72C及72D的位於第二階梯區118C中的部分長的長度;且介電層52A及導電線72A的位於第二階梯區118C中的部分可具有較介電層52B及導電線72B的位於第二階梯區118C中的部分長的長度。
介電層52及導電線72的位於第二階梯區118C中的部分可具有與介電層52及導電線72的位於第一階梯區118B中的部分不同的長度,此可用於達成面積節省且增大裝置密度。在一些實施例中,為介電層52及導電線72的位於第二階梯區118C及第一階梯區118B中的部分提供不同的長度會為在第二階梯區118C中進行的連接提供更大的靈活性。另外,藉由提供設置於第一階梯區118B內的導電線116來對導電線72與下伏的內連線結構320之間的連接進行佈線需要較傳統設計小的面積,此使得能夠達成更大的裝置密度。所述連接亦可短於傳統設計,此會降低電阻並改善裝置效能。可同時形成溝渠112與溝渠114且可同時形成接觸件110與接觸件111,使得可使用更少的微影步驟及更少的沉積步驟,此會降低成本並縮短生產時間。
圖32A至圖36例示出其中在形成階梯結構68、介電材料98、介電材料102、導電線106、導電線108、FE材料90及OS層92之後形成IMD 70且在階梯結構68中將導電線72、介電層52、FE材料90、OS層92及介電材料98圖案化的實施例。具體而言,可形成多層堆疊58。可使用與以上針對圖15A至圖21C闡述的製程相同或相似的製程在多層堆疊58中形成FE材料90、OS層92及介電材料98。可使用與以上針對圖22A至圖23C闡述的製程相同或相似的製程來使用介電材料102取代介電材料98的部分及OS層92的部分。可使用與以上針對圖24A至圖25D闡述的製程相同或相似的製程來使用導電線106及導電線108取代介電材料98的部分。
接著可使用與以上針對圖4至圖12闡述的製程相同或相似的製程將多層堆疊58、介電材料98、FE材料90及OS層92圖案化以形成階梯結構68。相較於針對圖4至圖12闡述的實施例,用於在介電材料98、FE材料90及OS層92中對階梯結構68以及多層堆疊58一起進行蝕刻的製程可使用多種蝕刻劑及附加的蝕刻製程。可使用定時蝕刻製程將介電材料98、FE材料90及OS層92圖案化。圖32A至圖32F例示出形成IMD 70之前的結構。如圖32A至圖32C中所示,介電材料102可具有與導電線72及介電層52相同的階梯結構。
在圖33A至圖33D中,在圖32A至圖32F中所示的結構之上形成IMD 70。IMD 70可由介電材料形成且可藉由任何合適的方法(例如CVD、PECVD、FCVD等)沉積。介電材料可包括磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、摻雜硼的磷矽酸鹽玻璃(BPSG)、未經摻雜的矽酸鹽玻璃(USG)等。在一些實施例中,IMD 70可包含氧化物(例如,氧化矽等)、氮化物(例如,氮化矽等)、其組合等。可使用藉由任何可接受的製程形成的其他介電材料。此外,在圖33A至圖33D中,對IMD 70應用移除製程,以移除多層堆疊58之上的過多介電材料。在一些實施例中,移除製程可為平坦化製程,例如CMP、回蝕製程、其組合等。平坦化製程暴露出多層堆疊58,使得在完成平坦化製程之後,多層堆疊58的頂表面與IMD 70的頂表面齊平。在平坦化製程之後,IMD 70沿著導電線72B至72D的側壁、介電層52B至52E的側壁、介電材料102的側壁、介電材料98的側壁、導電線106的側壁及導電線108的側壁延伸。此外,IMD 70可與導電線72A至72D的頂表面及介電材料102的頂表面接觸。
此外,在圖33A至圖33D中,形成接觸件110、接觸件111、接觸件122、導電線116及導電線124。可由與以上針對圖27A至圖28B闡述的材料相似或相同的材料且藉由與以上針對圖27A至圖28B闡述的製程相似或相同的製程形成接觸件110、接觸件111、接觸件122、導電線116及導電線124。如圖33B中所示,接觸件110可延伸穿過IMD 70以接觸導電線72並電性耦合至導電線72。接觸件111可延伸穿過IMD 70及介電材料102,且可電性耦合至下伏的內連線結構320的導電特徵322。接觸件122可延伸至導電線106及導電線108且電性耦合至導電線106及導電線108。
如圖33A及圖33D中所示,導電線116在與導電線72的縱向軸線垂直的方向上在第一階梯區118B及第二階梯區118C中的IMD 70之上延伸。導電線116可藉由介電材料102中的與導電線72相鄰的接觸件111將導電線72電性耦合至內連線結構320。如圖27A中所示,可藉由形成於同一溝渠100(參見圖22A至圖22C)中的介電材料102對平行的導電線72的連接進行佈線,其中在第一階梯區118B中對一組導電線72的連接進行佈線且在第二階梯區118C中對平行的一組導電線72的連接進行佈線。每一組堆疊的導電線72的連接可在第一階梯區118B及第二階梯區118C中交錯,此可改善所述連接之間的隔離。舉例而言,可穿過第一階梯區118B中的介電材料102對與導電線72的堆疊電性耦合的接觸件111進行佈線,且可穿過第二階梯區118C中的介電材料102對與導電線72的相鄰堆疊電性耦合的接觸件111進行佈線。
藉由提供設置於第一階梯區118B及第二階梯區118C內的導電線116來對導電線72與下伏的內連線結構320之間的連接進行佈線需要較傳統設計小的面積,此使得能夠達成更大的裝置密度。所述連接亦可短於傳統設計,此會降低電阻並改善裝置效能。另外,由於可同時形成溝渠112與溝渠114且亦可同時形成接觸件110與接觸件111,因此可使用更少的微影步驟及沉積步驟,此會降低成本並縮短生產時間。
圖34例示出其中接觸件110延伸至第一階梯區118B及第二階梯區118C二者中的導電線72中的每一者的實施例。圖34中所示的實施例為導電線72提供雙倍數目的驅動器且為第一階梯區118B及第二階梯區118C二者中的導電線72中的每一者提供驅動器。導電線116可在第一階梯區118B中在第一方向上自接觸件110延伸至接觸件111,而導電線116在第二階梯區118C中在與第一方向相反的第二方向上自接觸件110延伸至接觸件111。雙面字元線驅動會降低字元線電阻負載,此會改善裝置效能。另外,藉由提供設置於第一階梯區118B及第二階梯區118C內的導電線116來對導電線72與下伏的內連線結構320之間的連接進行佈線需要較傳統設計小的面積,此使得能夠達成更大的裝置密度。所述連接亦可短於傳統設計,此會降低電阻並改善裝置效能。可同時形成溝渠112與溝渠114且可同時形成接觸件110與接觸件111,使得可使用更少的微影步驟及更少的沉積步驟,此會降低成本並縮短生產時間。
圖35例示出其中接觸件110僅延伸至第一階梯區118B中的導電線72中的每一者的實施例。此實施例使得第二階梯區118C能夠用於導電線72等的其他連接,同時提供第一階梯區118B中的導電線72中的每一者的連接。另外,藉由提供設置於第一階梯區118B內的導電線116來對導電線72與下伏的內連線結構320之間的連接進行佈線需要較傳統設計小的面積,此使得能夠達成更大的裝置密度。所述連接亦可短於傳統設計,此會降低電阻並改善裝置效能。可同時形成溝渠112與溝渠114且可同時形成接觸件110與接觸件111,使得可使用更少的微影步驟及更少的沉積步驟,此會降低成本並縮短生產時間。
圖36例示出其中接觸件110僅延伸至第一階梯區118B中的導電線72中的每一者且第一階梯區118B與第二階梯區118C不對稱的實施例。此實施例使得第二階梯區118C能夠用於導電線72等的其他連接,同時提供第一階梯區118B中的導電線72中的每一者的連接。在圖36中所示的實施例中,介電層52C及52D以及導電線72C及72D的位於第二階梯區118C中的部分可具有相同的長度;介電層52B及導電線72B的位於第二階梯區118C中的部分可具有較介電層52C及52D以及導電線72C及72D的位於第二階梯區118C中的部分長的長度;且介電層52A及導電線72A的位於第二階梯區118C中的部分可具有較介電層52B及導電線72B的位於第二階梯區118C中的部分長的長度。
介電層52及導電線72的位於第二階梯區118C中的部分可具有與介電層52及導電線72的位於第一階梯區118B中的部分不同的長度,此可用於達成面積節省且增大裝置密度。在一些實施例中,為介電層52及導電線72的位於第二階梯區118C及第一階梯區118B中的部分提供不同的長度會為在第二階梯區118C中進行的連接提供更大的靈活性。另外,藉由提供設置於第一階梯區118B內的導電線116來對導電線72與下伏的內連線結構320之間的連接進行佈線需要較傳統設計小的面積,此使得能夠達成更大的裝置密度。所述連接亦可短於傳統設計,此會降低電阻並改善裝置效能。可同時形成溝渠112與溝渠114且可同時形成接觸件110與接觸件111,使得可使用更少的微影步驟及更少的沉積步驟,此會降低成本並縮短生產時間。
實施例可達成各種優點。舉例而言,形成其中藉由與字元線相鄰以及位於階梯區內的介電材料對字元線與下伏的內連線結構之間的連接進行佈線的記憶體陣列會減小內連所需的面積,減小用於內連的導電線的長度,且減少形成內連所需的掩蔽步驟。此會改善裝置密度,降低電阻,改善裝置效能且縮短製造時間並降低成本。
根據實施例,一種記憶體陣列包括:鐵電(FE)材料,與第一字元線接觸;氧化物半導體(OS)層,與源極線及位元線接觸,所述FE材料設置於所述OS層與所述第一字元線之間;介電材料,與所述FE材料接觸,所述FE材料位於所述介電材料與所述第一字元線之間;金屬間介電質(IMD),位於所述第一字元線之上;第一接觸件,穿過所述IMD延伸至所述第一字元線,所述第一接觸件電性耦合至所述第一字元線;第二接觸件,延伸穿過所述介電材料及所述FE材料;以及第一導電線,將所述第一接觸件電性耦合至所述第二接觸件。在實施例中,所述第二接觸件的底表面位於所述第一接觸件的底表面的下方。在實施例中,所述記憶體陣列更包括位於所述FE材料、所述第一字元線及所述介電材料之下的內連線結構,所述第二接觸件電性耦合至所述內連線結構。在實施例中,所述第一導電線在與所述第一字元線的縱向軸線垂直的方向上延伸。在實施例中,所述IMD在所述介電材料之上延伸,且所述第二接觸件更延伸穿過所述IMD。在實施例中,所述記憶體陣列更包括電晶體,所述電晶體包括所述FE材料的一部分、所述第一字元線的一部分、所述OS層的一部分、所述源極線的一部分及所述位元線的一部分。在實施例中,所述記憶體陣列更包括:第二字元線,在與所述第一字元線平行的方向上延伸;第三接觸件,穿過第二IMD延伸至所述第二字元線,所述第三接觸件電性耦合至所述第二字元線,所述第三接觸件與所述第一接觸件在與所述第一字元線的縱向軸線平行的方向上位於所述電晶體的相對兩側上;第四接觸件,延伸穿過所述介電材料及所述FE材料,與所述第一字元線的所述縱向軸線平行的線通過所述第二接觸件及所述第四接觸件;以及第二導電線,將所述第三接觸件電性耦合至所述第四接觸件。
根據另一實施例,一種記憶體陣列包括:第一字元線,位於半導體基底之上;第一金屬間介電質(IMD),位於所述字元線之上;第一介電材料,相鄰於所述第一字元線;第一鐵電(FE)材料,與所述第一字元線及所述第一介電材料接觸;氧化物半導體(OS)層,位於所述第一鐵電材料之上,所述氧化物半導體層與源極線及位元線接觸,其中所述第一鐵電材料位於所述氧化物半導體層與所述第一字元線之間;記憶胞區,包括所述第一鐵電材料的一部分、所述第一字元線的一部分、所述氧化物半導體層、所述源極線及所述位元線;第一接觸件,位於所述記憶胞區的第一側上,所述第一接觸件延伸穿過所述第一金屬間介電質,所述第一接觸件電性耦合至所述第一字元線;第二接觸件,位於所述記憶胞區的所述第一側上,所述第二接觸件延伸穿過所述第一介電材料及所述第一鐵電材料;以及第一導電線,將所述第一接觸件電性耦合至所述第二接觸件,所述第一導電線在與所述第一字元線的縱向軸線垂直的方向上延伸。在實施例中,所述記憶體陣列更包括:第二字元線,與所述第一字元線相對地接觸所述第一鐵電材料;第二金屬間介電質,位於所述第二字元線之上;第三接觸件,位於與所述記憶胞區的所述第一側相對的所述記憶胞區的第二側上,所述第三接觸件延伸穿過所述第二金屬間介電質,所述第三接觸件電性耦合至所述第二字元線;第四接觸件,位於所述記憶胞區的所述第二側上,所述第四接觸件延伸穿過所述第一介電材料及所述第一鐵電材料;以及第二導電線,將所述第三接觸件電性耦合至所述第四接觸件,所述第二導電線在與所述第一字元線的所述縱向軸線垂直的所述方向上延伸。在實施例中,所述記憶體陣列更包括:第二鐵電材料,與所述第一字元線接觸;第二介電材料,與所述第二鐵電材料接觸;第五接觸件,位於所述記憶胞區的所述第二側上,所述第五接觸件延伸穿過所述第一金屬間介電質,所述第五接觸件電性耦合至所述第一字元線;第六接觸件,位於所述記憶胞區的所述第二側上,所述第六接觸件延伸穿過所述第二介電材料及所述第二鐵電材料;以及第三導電線,將所述第五接觸件電性耦合至所述第六接觸件,所述第三導電線在與所述第一字元線的所述縱向軸線垂直的所述方向上延伸。在實施例中,所述第一金屬間介電質在所述第一字元線之上延伸,其中所述第二金屬間介電質在所述第二字元線之上延伸,其中所述第二接觸件更延伸穿過所述第一金屬間介電質,且其中所述第四接觸件更延伸穿過所述第二金屬間介電質。在實施例中,所述記憶體陣列更包括:第二鐵電材料,與所述第一字元線接觸;第二介電材料,與所述第二鐵電材料接觸;第二字元線,與所述第二鐵電材料接觸;第二金屬間介電質,位於所述第二字元線之上;第三接觸件,位於所述記憶胞區的所述第一側上,所述第三接觸件延伸穿過所述第二金屬間介電質,所述第三接觸件電性耦合至所述第二字元線;第四接觸件,位於所述記憶胞區的所述第一側上,所述第四接觸件延伸穿過所述第二介電材料及所述第二鐵電材料;以及第二導電線,將所述第三接觸件電性耦合至所述第四接觸件,所述第二導電線在與所述第一字元線的所述縱向軸線垂直的所述方向上延伸。在實施例中,所述第一金屬間介電質在所述第一字元線及所述第一介電材料之上延伸,其中所述第二接觸件更延伸穿過所述第一金屬間介電質。在實施例中,所述記憶體陣列更包括:第二鐵電材料,與所述第一字元線接觸;第二介電材料,與所述第二鐵電材料接觸;第二字元線,與所述第二鐵電材料接觸,其中所述第一金屬間介電質在所述第一鐵電材料、所述第二鐵電材料、所述第一介電材料、所述第二介電材料、所述第一字元線及所述第二字元線之上延伸,其中所述第二接觸件更延伸穿過所述第一金屬間介電質;第三接觸件,位於所述記憶胞區的所述第一側上,所述第三接觸件延伸穿過所述第一金屬間介電質,所述第三接觸件電性耦合至所述第二字元線;第四接觸件,位於所述記憶胞區的所述第一側上,所述第四接觸件延伸穿過所述第二介電材料、所述第二鐵電材料及所述第一金屬間介電質;以及第二導電線,將所述第三接觸件電性耦合至所述第四接觸件,所述第二導電線在與所述第一字元線的所述縱向軸線垂直的所述方向上延伸。
根據又一實施例,一種方法包括:在半導體基底之上形成多層堆疊,所述多層堆疊包括交替的導電層與介電層;圖案化出第一溝渠,所述第一溝渠延伸穿過所述多層堆疊;沿著所述第一溝渠的側壁及底表面沉積鐵電(FE)材料;在所述FE材料之上沉積氧化物半導體(OS)層;在所述FE材料之上沉積介電材料;在所述多層堆疊之上形成金屬間介電質(IMD);形成第一導電接觸件,所述第一導電接觸件穿過所述IMD延伸至所述多層堆疊的第一導電層,所述第一導電接觸件電性耦合至所述第一導電層;形成第二導電接觸件,所述第二導電接觸件延伸穿過所述介電材料及所述FE材料;以及形成導電線,所述導電線使所述第二導電接觸件與所述第一導電接觸件電性耦合。在實施例中,形成所述第一導電接觸件包括形成延伸穿過所述IMD的第二溝渠,所述第二溝渠暴露出所述第一導電層的頂表面,形成所述第二導電接觸件包括形成延伸穿過所述介電材料及所述FE材料的第三溝渠,且所述第二溝渠與所述第三溝渠同時形成。在實施例中,所述方法更包括:將所述多層堆疊圖案化成使得所述導電層及所述介電層在剖視圖中具有階梯形狀。在實施例中,所述方法更包括:將所述介電材料圖案化成在所述剖視圖中具有所述階梯形狀,在將所述介電材料及所述多層堆疊圖案化成具有所述階梯形狀之後,所述IMD形成於所述多層堆疊及所述介電材料之上。在實施例中,所述介電材料被形成為延伸穿過所述IMD。在實施例中,所述第一導電接觸件與所述第二導電接觸件藉由單獨的製程形成。
以上概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本揭露的各個態樣。熟習此項技術者應理解,他們可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。熟習此項技術者亦應認識到,該些等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下對其作出各種改變、代替及變更。
50:基底 52,52A,52B,52C,52D,52E,120,126,324:介電層 54,54A,54B,54C,54D:導電層 56,82:光阻 58:多層堆疊 60,62,64,66:區 61:開口 68:階梯結構 70:金屬間介電質(IMD) 72,72A,72B,72C,72D,106,108,116,124:導電線 80:硬罩幕 86,100,104,112,114:溝渠 90:鐵電(FE)材料 92:氧化物半導體(OS)層 98,98B,102:介電材料 98A:第一介電層 110,111,122:接觸件 118A:記憶胞區 118B:第一階梯區 118C:第二階梯區 200:記憶體陣列 202:記憶胞 202D:虛設記憶胞 204:電晶體 206:箭頭 302:閘極介電層 304:閘極電極 306:源極/汲極區 308:閘極間隙壁 310:第一層間介電質(ILD) 312:第二ILD 314:源極/汲極接觸件 316:閘極接觸件 320:內連線結構 322:導電特徵 A-A’,B-B’,C-C’,D-D’,E-E’:橫截面
結合附圖閱讀以下詳細說明,會最佳地理解本揭露的各個態樣。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1A及圖1B例示出根據一些實施例的記憶體陣列的透視圖及電路圖。 圖2、圖3、圖4、圖5、圖6、圖7、圖8、圖9、圖10、圖11、圖12、圖13、圖14、圖15A、圖15B、圖15C、圖16A、圖16B、圖16C、圖17A、圖17B、圖17C、圖18A、圖18B、圖18C、圖19A、圖19B、圖19C、圖20A、圖20B、圖20C、圖21A、圖21B、圖21C、圖22A、圖22B、圖22C、圖23A、圖23B、圖23C、圖24A、圖24B、圖24C、圖24D、圖25A、圖25B、圖25C、圖25D、圖25E、圖25F、圖26A、圖26B、圖26C、圖26D、圖27A、圖27B、圖27C、圖27D、圖28A、圖28B、圖29、圖30、圖31A、圖31B、圖32A、圖32B、圖32C、圖32D、圖32E、圖32F、圖33A、圖33B、圖33C、圖33D、圖34、圖35及圖36例示出根據一些實施例的製造記憶體陣列的不同視圖。
52E:介電層
70:金屬間介電質(IMD)
72,72A,72B,72C,72D,106,116:導電線
90:鐵電(FE)材料
92:氧化物半導體(OS)層
98,98B,102:介電材料
98A:第一介電層
110,111:接觸件
118A:記憶胞區
118B:第一階梯區
118C:第二階梯區

Claims (20)

  1. 一種記憶體陣列,包括: 鐵電材料,與第一字元線接觸; 氧化物半導體層,與源極線及位元線接觸,其中所述鐵電材料設置於所述氧化物半導體層與所述第一字元線之間; 介電材料,與所述鐵電材料接觸,其中所述鐵電材料位於所述介電材料與所述第一字元線之間; 金屬間介電質,位於所述第一字元線之上; 第一接觸件,穿過所述金屬間介電質延伸至所述第一字元線,其中所述第一接觸件電性耦合至所述第一字元線; 第二接觸件,延伸穿過所述介電材料及所述鐵電材料;以及 第一導電線,將所述第一接觸件電性耦合至所述第二接觸件。
  2. 如請求項1所述的記憶體陣列,其中所述第二接觸件的底表面位於所述第一接觸件的底表面的下方。
  3. 如請求項1所述的記憶體陣列,更包括位於所述鐵電材料、所述第一字元線及所述介電材料之下的內連線結構,其中所述第二接觸件電性耦合至所述內連線結構。
  4. 如請求項1所述的記憶體陣列,其中所述第一導電線在與所述第一字元線的縱向軸線垂直的方向上延伸。
  5. 如請求項1所述的記憶體陣列,其中所述金屬間介電質在所述介電材料之上延伸,且其中所述第二接觸件更延伸穿過所述金屬間介電質。
  6. 如請求項1所述的記憶體陣列,更包括電晶體,其中所述電晶體包括所述鐵電材料的一部分、所述第一字元線的一部分、所述氧化物半導體層的一部分、所述源極線的一部分及所述位元線的一部分。
  7. 如請求項6所述的記憶體陣列,更包括: 第二字元線,在與所述第一字元線平行的方向上延伸; 第三接觸件,穿過第二金屬間介電質延伸至所述第二字元線,其中所述第三接觸件電性耦合至所述第二字元線,其中所述第三接觸件與所述第一接觸件在與所述第一字元線的縱向軸線平行的方向上位於所述電晶體的相對兩側上; 第四接觸件,延伸穿過所述介電材料及所述鐵電材料,其中與所述第一字元線的所述縱向軸線平行的線通過所述第二接觸件及所述第四接觸件;以及 第二導電線,將所述第三接觸件電性耦合至所述第四接觸件。
  8. 一種記憶體陣列,包括: 第一字元線,位於半導體基底之上; 第一金屬間介電質,位於所述第一字元線之上; 第一介電材料,相鄰於所述第一字元線; 第一鐵電材料,與所述第一字元線及所述第一介電材料接觸; 氧化物半導體層,位於所述第一鐵電材料之上,所述氧化物半導體層與源極線及位元線接觸,其中所述第一鐵電材料位於所述氧化物半導體層與所述第一字元線之間; 記憶胞區,包括所述第一鐵電材料的一部分、所述第一字元線的一部分、所述氧化物半導體層、所述源極線及所述位元線; 第一接觸件,位於所述記憶胞區的第一側上,所述第一接觸件延伸穿過所述第一金屬間介電質,所述第一接觸件電性耦合至所述第一字元線; 第二接觸件,位於所述記憶胞區的所述第一側上,所述第二接觸件延伸穿過所述第一介電材料及所述第一鐵電材料;以及 第一導電線,將所述第一接觸件電性耦合至所述第二接觸件,所述第一導電線在與所述第一字元線的縱向軸線垂直的方向上延伸。
  9. 如請求項8所述的記憶體陣列,更包括: 第二字元線,與所述第一字元線相對地接觸所述第一鐵電材料; 第二金屬間介電質,位於所述第二字元線之上; 第三接觸件,位於與所述記憶胞區的所述第一側相對的所述記憶胞區的第二側上,所述第三接觸件延伸穿過所述第二金屬間介電質,所述第三接觸件電性耦合至所述第二字元線; 第四接觸件,位於所述記憶胞區的所述第二側上,所述第四接觸件延伸穿過所述第一介電材料及所述第一鐵電材料;以及 第二導電線,將所述第三接觸件電性耦合至所述第四接觸件,所述第二導電線在與所述第一字元線的所述縱向軸線垂直的所述方向上延伸。
  10. 如請求項9所述的記憶體陣列,更包括: 第二鐵電材料,與所述第一字元線接觸; 第二介電材料,與所述第二鐵電材料接觸; 第五接觸件,位於所述記憶胞區的所述第二側上,所述第五接觸件延伸穿過所述第一金屬間介電質,所述第五接觸件電性耦合至所述第一字元線; 第六接觸件,位於所述記憶胞區的所述第二側上,所述第六接觸件延伸穿過所述第二介電材料及所述第二鐵電材料;以及 第三導電線,將所述第五接觸件電性耦合至所述第六接觸件,所述第三導電線在與所述第一字元線的所述縱向軸線垂直的所述方向上延伸。
  11. 如請求項9所述的記憶體陣列,其中所述第一金屬間介電質在所述第一字元線之上延伸,其中所述第二金屬間介電質在所述第二字元線之上延伸,其中所述第二接觸件更延伸穿過所述第一金屬間介電質,且其中所述第四接觸件更延伸穿過所述第二金屬間介電質。
  12. 如請求項8所述的記憶體陣列,更包括: 第二鐵電材料,與所述第一字元線接觸; 第二介電材料,與所述第二鐵電材料接觸; 第二字元線,與所述第二鐵電材料接觸; 第二金屬間介電質,位於所述第二字元線之上; 第三接觸件,位於所述記憶胞區的所述第一側上,所述第三接觸件延伸穿過所述第二金屬間介電質,所述第三接觸件電性耦合至所述第二字元線; 第四接觸件,位於所述記憶胞區的所述第一側上,所述第四接觸件延伸穿過所述第二介電材料及所述第二鐵電材料;以及 第二導電線,將所述第三接觸件電性耦合至所述第四接觸件,所述第二導電線在與所述第一字元線的所述縱向軸線垂直的所述方向上延伸。
  13. 如請求項8所述的記憶體陣列,其中所述第一金屬間介電質在所述第一字元線及所述第一介電材料之上延伸,其中所述第二接觸件更延伸穿過所述第一金屬間介電質。
  14. 如請求項8所述的記憶體陣列,更包括: 第二鐵電材料,與所述第一字元線接觸; 第二介電材料,與所述第二鐵電材料接觸; 第二字元線,與所述第二鐵電材料接觸,其中所述第一金屬間介電質在所述第一鐵電材料、所述第二鐵電材料、所述第一介電材料、所述第二介電材料、所述第一字元線及所述第二字元線之上延伸,其中所述第二接觸件更延伸穿過所述第一金屬間介電質; 第三接觸件,位於所述記憶胞區的所述第一側上,所述第三接觸件延伸穿過所述第一金屬間介電質,所述第三接觸件電性耦合至所述第二字元線; 第四接觸件,位於所述記憶胞區的所述第一側上,所述第四接觸件延伸穿過所述第二介電材料、所述第二鐵電材料及所述第一金屬間介電質;以及 第二導電線,將所述第三接觸件電性耦合至所述第四接觸件,所述第二導電線在與所述第一字元線的所述縱向軸線垂直的所述方向上延伸。
  15. 一種記憶體陣列的製造方法,包括: 在半導體基底之上形成多層堆疊,所述多層堆疊包括交替的導電層與介電層; 圖案化出第一溝渠,所述第一溝渠延伸穿過所述多層堆疊; 沿著所述第一溝渠的側壁及底表面沉積鐵電材料; 在所述鐵電材料之上沉積氧化物半導體層; 在所述鐵電材料之上沉積介電材料; 在所述多層堆疊之上形成金屬間介電質; 形成第一導電接觸件,所述第一導電接觸件穿過所述金屬間介電質延伸至所述多層堆疊的第一導電層,其中所述第一導電接觸件電性耦合至所述第一導電層; 形成第二導電接觸件,所述第二導電接觸件延伸穿過所述介電材料及所述鐵電材料;以及 形成導電線,所述導電線使所述第二導電接觸件與所述第一導電接觸件電性耦合。
  16. 如請求項15所述的方法,其中形成所述第一導電接觸件包括形成延伸穿過所述金屬間介電質的第二溝渠,其中所述第二溝渠暴露出所述第一導電層的頂表面,其中形成所述第二導電接觸件包括形成延伸穿過所述介電材料及所述鐵電材料的第三溝渠,且其中所述第二溝渠與所述第三溝渠同時形成。
  17. 如請求項15所述的方法,更包括:將所述多層堆疊圖案化成使得所述導電層及所述介電層在剖視圖中具有階梯形狀。
  18. 如請求項17所述的方法,更包括:將所述介電材料圖案化成在所述剖視圖中具有所述階梯形狀,其中在將所述介電材料及所述多層堆疊圖案化成具有所述階梯形狀之後,所述金屬間介電質形成於所述多層堆疊及所述介電材料之上。
  19. 如請求項15所述的方法,其中所述介電材料被形成為延伸穿過所述金屬間介電質。
  20. 如請求項15所述的方法,其中所述第一導電接觸件與所述第二導電接觸件分別藉由單獨的製程形成。
TW110101056A 2020-06-19 2021-01-12 記憶體陣列及其製造方法 TWI737565B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063041161P 2020-06-19 2020-06-19
US63/041,161 2020-06-19
US17/015,679 2020-09-09
US17/015,679 US11404091B2 (en) 2020-06-19 2020-09-09 Memory array word line routing

Publications (2)

Publication Number Publication Date
TWI737565B TWI737565B (zh) 2021-08-21
TW202201405A true TW202201405A (zh) 2022-01-01

Family

ID=77933299

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110101056A TWI737565B (zh) 2020-06-19 2021-01-12 記憶體陣列及其製造方法

Country Status (5)

Country Link
US (2) US11404091B2 (zh)
KR (1) KR102509243B1 (zh)
CN (1) CN113488482B (zh)
DE (1) DE102020123978A1 (zh)
TW (1) TWI737565B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11910617B2 (en) 2020-05-28 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same
US11985825B2 (en) 2020-06-25 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. 3D memory array contact structures
US11423966B2 (en) * 2020-07-30 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array staircase structure
US11716856B2 (en) * 2021-03-05 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19843979C1 (de) 1998-09-24 2000-03-02 Siemens Ag Speicherzellenanordnung mit ferroelektrischem oder dynamischen Speicherzellen und entsprechendes Herstellungsverfahren
DE19914490C1 (de) 1999-03-30 2000-07-06 Siemens Ag Speicherzellenanordnung und Verfahren zu deren Herstellung
US6872996B2 (en) 1999-04-30 2005-03-29 Stmicroelectronics S.R.L. Method of fabricating a ferroelectric stacked memory cell
JP2002270788A (ja) 2001-03-14 2002-09-20 Fujitsu Ltd 半導体装置及びその製造方法
KR100956985B1 (ko) 2008-06-03 2010-05-11 경북대학교 산학협력단 고집적 수직형 플래시 메모리 셀 스트링, 셀 소자, 및 그제조 방법
JP2010034109A (ja) 2008-07-25 2010-02-12 Toshiba Corp 不揮発性半導体記憶装置
JP2010123590A (ja) 2008-11-17 2010-06-03 Toshiba Corp 半導体記憶装置
KR101481104B1 (ko) 2009-01-19 2015-01-13 삼성전자주식회사 비휘발성 메모리 장치 및 그 제조 방법
JP5330017B2 (ja) 2009-02-17 2013-10-30 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
KR101604054B1 (ko) 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
KR101652873B1 (ko) * 2010-02-18 2016-08-31 삼성전자주식회사 3차원 반도체 장치 및 그 동작 방법
KR20110112727A (ko) 2010-04-07 2011-10-13 주식회사 하이닉스반도체 더블 패터닝을 이용한 반도체소자의 패턴형성방법
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
KR101762823B1 (ko) 2010-10-29 2017-07-31 삼성전자주식회사 비휘발성 메모리 장치 및 그것의 제조 방법
KR20130072522A (ko) 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 3차원 불휘발성 메모리 소자 및 그 제조 방법
KR20140008622A (ko) 2012-07-10 2014-01-22 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
KR20140024632A (ko) 2012-08-20 2014-03-03 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
US8878279B2 (en) 2012-12-12 2014-11-04 Intel Corporation Self-aligned floating gate in a vertical memory structure
US9281044B2 (en) 2013-05-17 2016-03-08 Micron Technology, Inc. Apparatuses having a ferroelectric field-effect transistor memory array and related method
US9287406B2 (en) 2013-06-06 2016-03-15 Macronix International Co., Ltd. Dual-mode transistor devices and methods for operating same
US9230973B2 (en) 2013-09-17 2016-01-05 Sandisk Technologies Inc. Methods of fabricating a three-dimensional non-volatile memory device
EP2887396B1 (en) 2013-12-20 2017-03-08 Imec Three-dimensional resistive memory array
US9419010B2 (en) 2014-02-24 2016-08-16 Macronix International Co., Ltd. High aspect ratio etching method
KR102243497B1 (ko) 2014-07-22 2021-04-23 삼성전자주식회사 불 휘발성 메모리 장치 및 그것의 프로그램 방법
US9728546B2 (en) 2014-09-05 2017-08-08 Sandisk Technologies Llc 3D semicircular vertical NAND string with self aligned floating gate or charge trap cell memory cells and methods of fabricating and operating the same
US20160118404A1 (en) 2014-10-09 2016-04-28 Haibing Peng Three-dimensional non-volatile ferroelectric random access memory
US9620712B2 (en) 2014-10-31 2017-04-11 Sandisk Technologies Llc Concave word line and convex interlayer dielectric for protecting a read/write layer
KR20160060850A (ko) 2014-11-20 2016-05-31 삼성전자주식회사 메모리 장치 및 그 형성방법
KR102270099B1 (ko) 2014-12-08 2021-06-29 삼성전자주식회사 더미 패턴을 갖는 반도체 소자 및 그 제조방법
EP3038141B1 (en) 2014-12-23 2019-08-28 IMEC vzw Method of reading a memory cell of a vertical ferroelectric memory device
US9627403B2 (en) 2015-04-30 2017-04-18 Sandisk Technologies Llc Multilevel memory stack structure employing support pillar structures
CN115942752A (zh) 2015-09-21 2023-04-07 莫诺利特斯3D有限公司 3d半导体器件和结构
US9799670B2 (en) 2015-11-20 2017-10-24 Sandisk Technologies Llc Three dimensional NAND device containing dielectric pillars for a buried source line and method of making thereof
US9570464B1 (en) 2015-12-15 2017-02-14 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
KR102550575B1 (ko) * 2016-01-26 2023-07-04 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
KR102594494B1 (ko) 2016-02-17 2023-10-27 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US9721663B1 (en) * 2016-02-18 2017-08-01 Sandisk Technologies Llc Word line decoder circuitry under a three-dimensional memory array
US9947721B2 (en) 2016-04-01 2018-04-17 Micron Technology, Inc. Thermal insulation for three-dimensional memory arrays
KR20170119158A (ko) 2016-04-18 2017-10-26 삼성전자주식회사 반도체 메모리 장치 및 반도체 장치
KR102607833B1 (ko) * 2016-05-23 2023-11-30 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US9831118B1 (en) * 2016-05-24 2017-11-28 Sandisk Technologies Llc Reducing neighboring word line in interference using low-k oxide
US10256248B2 (en) 2016-06-07 2019-04-09 Sandisk Technologies Llc Through-memory-level via structures between staircase regions in a three-dimensional memory device and method of making thereof
US10361213B2 (en) 2016-06-28 2019-07-23 Sandisk Technologies Llc Three dimensional memory device containing multilayer wordline barrier films and method of making thereof
US9953993B2 (en) 2016-07-25 2018-04-24 Toshiba Memory Corporation Semiconductor memory device
JP6645940B2 (ja) * 2016-09-20 2020-02-14 キオクシア株式会社 不揮発性半導体記憶装置
US10446437B2 (en) 2016-10-10 2019-10-15 Macronix International Co., Ltd. Interlevel connectors in multilevel circuitry, and method for forming the same
KR102653527B1 (ko) 2016-11-09 2024-04-01 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
KR20190105604A (ko) 2017-01-20 2019-09-17 웨이민 리 강유전성 산화물 메모리 장치
CN110268523A (zh) 2017-02-04 2019-09-20 三维单晶公司 3d半导体装置及结构
US9953992B1 (en) 2017-06-01 2018-04-24 Sandisk Technologies Llc Mid-plane word line switch connection for CMOS under three-dimensional memory device and method of making thereof
US10109639B1 (en) 2017-06-09 2018-10-23 International Business Machines Corporation Lateral non-volatile storage cell
CN110800107B (zh) 2017-06-29 2023-09-22 美光科技公司 包括绝缘材料及存储器单元的垂直交替层的存储器阵列以及形成包括个别包括晶体管及电容器的存储器单元的存储器阵列的方法
KR102423766B1 (ko) * 2017-07-26 2022-07-21 삼성전자주식회사 3차원 반도체 소자
US10522561B2 (en) 2017-08-23 2019-12-31 Yangtze Memory Technologies Co., Ltd. Method for forming a three-dimensional memory device
CN107658311B (zh) 2017-08-28 2018-12-14 长江存储科技有限责任公司 三维存储器
US10262730B1 (en) 2017-10-16 2019-04-16 Sandisk Technologies Llc Multi-state and confined phase change memory with vertical cross-point structure
CN109698162A (zh) 2017-10-20 2019-04-30 萨摩亚商费洛储存科技股份有限公司 三维存储元件及其制造方法
US10283513B1 (en) 2017-11-06 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device with annular blocking dielectrics and method of making thereof
KR102533145B1 (ko) * 2017-12-01 2023-05-18 삼성전자주식회사 3차원 반도체 메모리 장치
CN109904229A (zh) * 2017-12-08 2019-06-18 萨摩亚商费洛储存科技股份有限公司 垂直式铁电薄膜储存晶体管和资料写入及读出方法
US10504918B2 (en) * 2018-03-16 2019-12-10 Toshiba Memory Corporation Memory device
US10593399B2 (en) 2018-03-19 2020-03-17 Micron Technology, Inc. Self-selecting memory array with horizontal bit lines
US10115681B1 (en) 2018-03-22 2018-10-30 Sandisk Technologies Llc Compact three-dimensional memory device having a seal ring and methods of manufacturing the same
KR20190118751A (ko) * 2018-04-11 2019-10-21 삼성전자주식회사 반도체 장치
US10593692B2 (en) 2018-04-30 2020-03-17 Sandisk Technologies Llc Three-dimensional nor-type memory device and method of making the same
WO2020000306A1 (en) 2018-06-28 2020-01-02 Yangtze Memory Technologies Co., Ltd. Staircase structures for three-dimensional memory device double-sided routing
KR102640174B1 (ko) * 2018-07-17 2024-02-26 삼성전자주식회사 3차원 반도체 소자
JP2020024965A (ja) 2018-08-06 2020-02-13 キオクシア株式会社 半導体記憶装置
US10930333B2 (en) 2018-08-29 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded ferroelectric memory cell
US10943913B2 (en) * 2018-09-27 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Strap-cell architecture for embedded memory
KR102638794B1 (ko) 2018-10-11 2024-02-20 에스케이하이닉스 주식회사 강유전 물질을 포함하는 반도체 장치 및 그 제조 방법
CN109564922B (zh) 2018-10-24 2020-09-25 长江存储科技有限责任公司 三维存储设备及其制造方法
CN113396489A (zh) 2018-11-08 2021-09-14 Neo半导体公司 用于具有对准的深沟槽接触的三维(3d)阵列的方法和设备
KR20200067424A (ko) * 2018-12-04 2020-06-12 삼성전자주식회사 비휘발성 메모리 장치 및 그 제조 방법
CN113169041B (zh) 2018-12-07 2024-04-09 日升存储公司 形成多层垂直nor型存储器串阵列的方法
US11043496B2 (en) 2018-12-18 2021-06-22 Micron Technology, Inc. Thin film transistors and related fabrication techniques
US10903223B2 (en) 2019-01-15 2021-01-26 Micron Technology, Inc. Driver placement in memories having stacked memory arrays
KR20200107341A (ko) * 2019-03-07 2020-09-16 삼성전자주식회사 반도체 메모리 소자
JP2020150199A (ja) * 2019-03-15 2020-09-17 キオクシア株式会社 半導体記憶装置
KR20200138994A (ko) 2019-06-03 2020-12-11 삼성전자주식회사 3차원 메모리 장치
KR20210017091A (ko) 2019-08-06 2021-02-17 에스케이하이닉스 주식회사 강유전 유도층을 포함하는 강유전 메모리 장치
CN113272958A (zh) * 2019-08-13 2021-08-17 桑迪士克科技有限责任公司 包含源极层和漏极层的交替堆叠和竖直栅极电极的三维存储器器件
KR20210045538A (ko) * 2019-10-16 2021-04-27 삼성전자주식회사 불휘발성 메모리 장치
US11282827B2 (en) 2019-10-16 2022-03-22 Samsung Electronics Co., Ltd. Nonvolatile memory device having stacked structure with spaced apart conductive layers
KR20210072635A (ko) 2019-12-09 2021-06-17 에스케이하이닉스 주식회사 강유전층을 구비하는 비휘발성 메모리 장치
KR20210083125A (ko) 2019-12-26 2021-07-06 에스케이하이닉스 주식회사 저항 변화 구조물을 구비하는 비휘발성 메모리 장치
US20210242241A1 (en) * 2019-12-27 2021-08-05 Sandisk Technologies Llc Three-dimensional nor array including vertical word lines and discrete memory elements and methods of manufacture
CN111180451B (zh) 2019-12-31 2023-04-11 长江存储科技有限责任公司 3d存储器件及其制造方法
JP7297923B2 (ja) * 2020-05-27 2023-06-26 長江存儲科技有限責任公司 3次元メモリデバイス及び方法
US11587823B2 (en) 2020-06-29 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11355516B2 (en) 2020-07-16 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11647634B2 (en) 2020-07-16 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11495618B2 (en) 2020-07-30 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11527553B2 (en) 2020-07-30 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11423966B2 (en) * 2020-07-30 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array staircase structure
US11508754B2 (en) 2021-01-05 2022-11-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor memory structure and method for forming the same

Also Published As

Publication number Publication date
US11404091B2 (en) 2022-08-02
TWI737565B (zh) 2021-08-21
CN113488482B (zh) 2024-04-09
KR20210157288A (ko) 2021-12-28
DE102020123978A1 (de) 2021-12-23
US20220310132A1 (en) 2022-09-29
US20210398568A1 (en) 2021-12-23
CN113488482A (zh) 2021-10-08
US12002534B2 (en) 2024-06-04
KR102509243B1 (ko) 2023-03-10

Similar Documents

Publication Publication Date Title
TWI770897B (zh) 記憶體陣列及其製造方法以及半導體裝置
CN113488482B (zh) 存储器阵列及其形成方法
US20220384486A1 (en) Method of forming memory device
US20220358984A1 (en) Memory Array Including Dummy Regions
US11647636B2 (en) Memory devices
TW202201646A (zh) 記憶單元、半導體裝置及其製作方法
US11856785B2 (en) Memory array and methods of forming same
TW202213746A (zh) 鐵電記憶體裝置及其形成方法
US11770934B2 (en) Semiconductor structure and method of fabricating the same
TW202236621A (zh) 三維記憶體元件及其製造方法
TWI807270B (zh) 記憶胞、半導體元件及形成半導體元件的方法
US11844224B2 (en) Memory structure and method of forming the same
US20230063038A1 (en) Memory Device and Method of Forming Thereof
US20240064994A1 (en) Memory device and method of forming the same