TW202213746A - 鐵電記憶體裝置及其形成方法 - Google Patents

鐵電記憶體裝置及其形成方法 Download PDF

Info

Publication number
TW202213746A
TW202213746A TW110118178A TW110118178A TW202213746A TW 202213746 A TW202213746 A TW 202213746A TW 110118178 A TW110118178 A TW 110118178A TW 110118178 A TW110118178 A TW 110118178A TW 202213746 A TW202213746 A TW 202213746A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
layers
conductive
ferroelectric
Prior art date
Application number
TW110118178A
Other languages
English (en)
Inventor
呂俊頡
喬治奧斯 韋理安尼堤斯
馬可 范 達爾
世海 楊
林佑明
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/113,106 external-priority patent/US11647635B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202213746A publication Critical patent/TW202213746A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/10Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the top-view layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

一種裝置包括多層堆疊、通道層、鐵電層及緩衝層。所述多層堆疊設置在基底上且包括交替堆疊的多個導電層及多個介電層。通道層穿透過所述多個導電層及所述多個介電層。鐵電層設置在通道層與所述多個導電層及所述多個介電層中的每一者之間。緩衝層包括金屬氧化物,且緩衝層中的一者設置在所述鐵電層與所述多個介電層中的每一者之間。

Description

鐵電記憶體裝置及其形成方法
本發明實施例是關於鐵電記憶體裝置及其形成方法。
半導體裝置用於各種電子應用中,例如個人電腦、手機、數位相機及其他電子裝備。半導體裝置通常是藉由以下方式製作而成:在半導體基板之上依序沉積絕緣層或介電層、導電層及半導體層,並使用微影及蝕刻技術將各種材料層圖案化以在其上形成電路組件及元件。
半導體行業藉由不斷減小最小特徵尺寸(minimum feature size)來不斷提高各種電子組件(例如電晶體、二極體、電阻器、電容器等)的積體密度,此使得能夠將更多的組件整合至給定區域中。然而,隨著最小特徵尺寸的減小,出現了應解決的附加問題。
根據本公開的一些實施例,一種裝置包括多層堆疊、通道層、鐵電層及緩衝層。所述多層堆疊設置在基底上且包括交替堆疊的多個導電層及多個介電層。所述通道層穿透過所述多個導電層及所述多個介電層。所述鐵電層設置在所述通道層與所述多個導電層及所述多個介電層中的每一者之間。所述緩衝層包括金屬氧化物,且所述緩衝層中的一者設置在所述鐵電層與所述多個介電層中的每一者之間。
以下揭露內容提供用於實施本發明的不同特徵的許多不同實施例或實例。以下闡述組件及排列的具體實例以簡化本揭露。當然,該些僅為實例且不旨在進行限制。舉例而言,以下說明中將第一特徵形成於第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本揭露可能在各種實例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清晰的目的,而不是自身指示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可使用例如「在…之下(beneath)」、「在…下方(below)」、「下部的(lower)」、「在…上方(above)」、「上部的(upper)」等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外亦囊括裝置在使用或操作中的不同定向。設備可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
各種實施例提供一種記憶體裝置,例如三維(three dimensional,3D)記憶體陣列。在一些實施例中,3D記憶體陣列是包括多個垂直堆疊的記憶胞的鐵電場效電晶體(ferroelectric field effect transistor,FeFET)記憶體電路。在一些實施例中,每一記憶胞被視為FeFET,所述FeFET包括:字元線區,用作閘極電極;位元線區,用作第一源極/汲極電極;及源極線區,用作第二源極/汲極電極;鐵電材料,作為閘極介電質;及氧化物半導體(oxide semiconductor,OS),作為通道區。在一些實施例中,每一記憶胞被視為薄膜電晶體(thin film transistor,TFT)。
圖1A、圖1B及圖1C說明根據一些實施例的記憶體陣列的實例。圖1A以部分三維視圖說明簡化的鐵電記憶體裝置200的一部分的實例;圖1B說明鐵電記憶體裝置200的電路圖;且圖1C說明根據一些實施例的鐵電記憶體裝置200的俯視圖。鐵電記憶體裝置200包括多個記憶胞202,所述多個記憶胞202可被排列成行與列的柵格。記憶胞202可進一步垂直堆疊以提供三維記憶體陣列,從而增大裝置密度。鐵電記憶體裝置200可設置在半導體晶粒的後段製程(back end of line,BEOL)中。舉例來說,記憶體陣列可設置在半導體晶粒的內連層中,例如設置在形成在半導體基底上的一個或多個主動裝置(例如電晶體)上方。
在一些實施例中,鐵電記憶體裝置200是閃速記憶體陣列,例如或非(NOR)閃速記憶體陣列或類似記憶體陣列。在一些實施例中,每一記憶胞202的閘極電耦合到相應的字元線(例如,導電線72),每一記憶胞202的第一源極/汲極區電耦合到相應的位元線(例如,導電線116B),且每一記憶胞202的第二源極/汲極區電耦合到相應的源極線(例如,導電線116A),所述源極線將第二源極/汲極區電耦合到地。鐵電記憶體裝置200的同一水平列中的記憶胞202可共用共用字元線,而鐵電記憶體裝置200的同一垂直行中的記憶胞202可共用共用源極線及共用位元線。
鐵電記憶體裝置200包括多個垂直堆疊的導電線72(例如,字元線)以及設置在導電線72中的相鄰導電線之間的介電層52。導電線72在與下伏基底(圖1A及圖1B中未明確說明)的主表面平行的方向上延伸。導電線72可具有階梯配置,以使得下部導電線72長於上部導電線72且在側向上延伸超過上部導電線72的端點。舉例來說,在圖1A中,導電線72的多個堆疊層被說明為最頂部導電線72是最短的且最底部導電線72是最長的。導電線72各自的長度可在朝向下伏基底的方向上增加。以此種方式,可從鐵電記憶體裝置200上方觸及導電線72中的每一者的一部分,且可使多個導電接觸件分別觸及多個導電線72的多個暴露部分。
鐵電記憶體裝置200更包括交替排列的導電柱106(例如,電連接到位元線)及導電柱108(例如,電連接到源極線)。導電柱106及108可各自在與導電線72垂直的方向上延伸。介電材料98A/98B設置在導電柱106與導電柱108之間且將導電柱106及導電柱108中的相鄰導電柱隔離。
成對的導電柱106及108與交叉的導電線72一起界定每一記憶胞202的邊界,且隔離柱102設置在相鄰的成對的導電柱106與導電柱108之間且將相鄰的成對的導電柱106與導電柱108隔離。在一些實施例中,導電柱108電耦合到地。儘管圖1A說明導電柱106相對於導電柱108的特定放置,但應瞭解在其他實施例中可交換導電柱106與導電柱108的放置。
在一些實施例中,鐵電記憶體裝置200也可包括作為通道層92的氧化物半導體(oxide semiconductor,OS)材料。通道層92可為記憶胞202提供通道區。舉例來說,當通過對應的導電線72施加適當電壓(例如,高於對應的記憶胞202的相應臨限電壓(V th))時,通道層92的與導電線72交叉的區可使得電流能夠從導電柱106流動到導電柱108(例如,在由箭頭206指示的方向上)。
在一些實施例中,在通道層92與導電線72及介電層52中的每一者之間設置有鐵電層90,且鐵電層90可用作每一記憶胞202的閘極介電質。在一些實施例中,鐵電層90包括鐵電材料,例如氧化鉿、氧化鉿鋯、摻雜矽的氧化鉿或類似材料。
可在兩個不同的方向中的一者上將鐵電層90極化,且可通過在鐵電層90兩端施加適當電壓差並產生適當電場來改變極化方向。極化可相對局部化(例如,一般來說侷限在記憶胞202的每一邊界內),且鐵電層90的連續區可跨越多個記憶胞202延伸。依據鐵電層90的特定區的極化方向而定,對應的記憶胞202的臨限電壓發生變化,且可儲存數位值(例如0或1)。舉例來說,當鐵電層90的區具有第一電極化方向時,對應的記憶胞202可具有相對低的臨限電壓,且當鐵電層90的區具有第二電極化方向時,對應的記憶胞202可具有相對高的臨限電壓。所述兩個臨限電壓之間的差可被稱為臨限電壓偏移(threshold voltage shift)。臨限電壓偏移越大,則讀取儲存在對應的記憶胞202中的數位值越容易(例如,不易出錯)。
在一些實施例中,在鐵電層90(例如,金屬氧化物層)與介電層52(例如,氧化矽層)中的每一者之間設置有緩衝層88(例如,金屬氧化物層)。緩衝層部分地取代介電層且仿效金屬表面,因此鐵電層90會更均勻地生長,且因此改善裝置性能。
為對這些實施例中的記憶胞202執行寫入操作,在鐵電層90的與記憶胞202對應的一部分的兩端施加寫入電壓。在一些實施例中,例如通過對對應導電線72(例如,字元線)及對應導電柱106/108(例如,位元線/源極線)施加適當電壓來施加寫入電壓。通過在鐵電層90的所述部分的兩端施加寫入電壓,可改變鐵電層90的區的極化方向。因此,對應記憶胞202的對應臨限電壓也可從低臨限電壓切換到高臨限電壓或者反之,且數位值可被儲存在記憶胞202中。由於導電線72與導電柱106及108交叉,因此可選擇個別記憶胞202來進行寫入操作。
為對這些實施例中的記憶胞202執行讀取操作,對對應導電線72(例如,字元線)施加讀取電壓(介於低臨限電壓與高臨限電壓之間的電壓)。依據鐵電層90的對應區的極化方向而定,可接通或可不接通記憶胞202。因此,可通過導電柱108(例如,耦合到地的源極線)對導電柱106進行放電或可不對導電柱106進行放電,且可確定儲存在記憶胞202中的數位值。由於導電線72與導電柱106及108交叉,因此可選擇個別記憶胞202來進行讀取操作。
圖1A進一步說明在稍後的圖中使用的鐵電記憶體裝置200的參考橫截面。橫截面B-B’是沿著導電線72的縱向軸線且在例如與記憶胞202的電流流動方向平行的方向上。橫截面C-C’垂直於橫截面B-B’且延伸穿過介電材料98A/98B及隔離柱102。橫截面D-D’垂直於橫截面B-B’且延伸穿過介電材料98A/98B及導電柱106。為簡潔起見,後續的圖參考這些參考橫截面。
在圖2中,提供基底50。基底50可以是可經摻雜(例如,摻雜有p型摻雜劑或n型摻雜劑)或未經摻雜的半導體基底,例如塊狀半導體、絕緣體上半導體(semiconductor-on-insulator,SOI)基底或類似基底。基底50可以是積體電路晶粒,例如邏輯晶粒、記憶體晶粒、專用積體電路(application specific integrated circuit,ASIC)晶粒或類似晶粒。基底50可以是互補金屬氧化物半導體(complementary metal oxide semiconductor,CMOS)晶粒且可被稱為陣列下CMOS(CMOS under array,CUA)。基底50可以是晶圓,例如矽晶圓。一般來說,SOI基底是形成在絕緣體層上的半導體材料層。舉例來說,絕緣體層可以是隱埋式氧化物(buried oxide,BOX)層、氧化矽層或類似層。絕緣體層設置在基底(通常是矽基底或玻璃基底)上。也可使用其他基底,例如多層基底或梯度基底。在一些實施例中,基底50的半導體材料可包括:矽;鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括矽-鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦及/或磷砷化鎵銦;或其組合。
圖2進一步說明可形成在基底50之上的電路。所述電路包括位於基底50的頂表面處的電晶體。所述電晶體可包括位於基底50的頂表面之上的閘極介電層302及位於閘極介電層302之上的閘極電極304。在閘極介電層302及閘極電極304的相對側上在基底50中設置主動極/汲極區306。沿著閘極介電層302的側壁形成閘極間隔件308,且閘極間隔件308將源極/汲極區306與閘極電極304隔開適當的側向距離。所述電晶體可包括鰭場效電晶體(fin field effect transistor,FinFET)、奈米結構(例如,奈米片、奈米導線、全包圍閘極(gate-all-around)或類似結構)FET(nano-FET)、平坦FET、類似電晶體或其組合,且可通過先閘極製程(gate-first process)或後閘極製程(gate-last process)來形成。
第一層間介電質(inter-layer dielectric,ILD)310環繞源極/汲極區306、閘極介電層302及閘極電極304並將源極/汲極區306、閘極介電層302及閘極電極304隔離,且第二層間介電質312位於第一層間介電質310之上。源極/汲極接觸件314延伸穿過第二層間介電質312及第一層間介電質310且電耦合到源極/汲極區306,且閘極接觸件316延伸穿過第二層間介電質312且電耦合到閘極電極304。內連結構320位於第二層間介電質312、源極/汲極接觸件314及閘極接觸件316之上。舉例來說,內連結構320包括堆疊的一個或多個介電層324及形成在所述一個或多個介電層324中的導電特徵322。內連結構320可電連接到閘極接觸件316及源極/汲極接觸件314以形成功能電路。在一些實施例中,由內連結構320形成的功能電路可包括邏輯電路、記憶體電路、感測放大器、控制器、輸入/輸出電路、影像感測器電路等、類似電路或其組合。儘管圖2論述形成在基底50之上的電晶體,但其他主動裝置(例如,二極體或類似裝置)及/或被動裝置(例如電容器、電阻器或類似裝置)也可形成為功能電路的一部分。
在圖3中,在圖2的結構之上形成多層堆疊58。出於簡潔及清晰目的,後續圖式中可省略基底50、電晶體、ILD及內連結構320。儘管多層堆疊58被說明為接觸內連結構320的介電層324,但可在基底50與多層堆疊58之間設置任何數目的中間層。舉例來說,可在基底50與多層堆疊58之間設置包括位於絕緣層(例如,低介電常數介電層)中的導電特徵的一個或多個內連層。在一些實施例中,可將導電特徵圖案化以為基底50上的主動裝置及/或為鐵電記憶體裝置200(參見圖1A及圖1B)提供電源線、接地線及/或訊號線。在一些實施例中,包括位於絕緣層(例如,低介電常數介電層)中的導電特徵的一個或多個內連層可設置在多層堆疊58之上。
在圖3中,多層堆疊58包括犧牲層53A到犧牲層53D(被統稱為犧牲層53)與介電層52A到介電層52E(被統稱為介電層52)的交替層。可在後續步驟中將犧牲層53圖案化並取代以界定導電線72(例如,字元線)。犧牲層53可包括介電材料,例如氧化矽、氮化矽、氮氧化矽、其組合或類似材料。介電層52可包括絕緣材料,例如氧化矽、氮化矽、氮氧化矽、其組合或類似材料。犧牲層53與介電層52包括具有不同蝕刻選擇性的不同材料。在一些實施例中,犧牲層53包括氮化矽,且介電層52包括氧化矽。可使用例如化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)、物理氣相沉積(physical vapor deposition,PVD)、電漿增強型CVD(plasma enhanced CVD,PECVD)或類似製程來形成犧牲層53及介電層52中的每一者。
儘管圖3說明特定數目的犧牲層53及介電層52,但其他實施例可包括不同數目的犧牲層53及介電層52。此外,儘管多層堆疊58被說明為具有作為最頂部層及最底部層的介電層,但本公開並不僅限於此。在一些實施例中,多層堆疊58的最頂部層及最底部層中的至少一者是犧牲層。
圖4到圖12是製造根據一些實施例的鐵電記憶體裝置200的階梯結構的中間階段的視圖。沿著圖1A中所說明的參考橫截面B-B’對圖4到圖12加以說明。
在圖4中,在多層堆疊58之上形成光阻56。在一些實施例中,通過旋轉塗佈技術形成光阻56並通過可接受的微影技術將光阻56圖案化。將光阻56圖案化可在區60中暴露出多層堆疊58,而掩蔽多層堆疊58的其餘部分。舉例來說,可在區60中暴露出多層堆疊58的最頂部層(例如,介電層52E)。
在圖5中,使用光阻56作為罩幕來蝕刻多層堆疊58的在區60中的暴露部分。蝕刻可以是任何可接受的蝕刻製程,例如乾式蝕刻(例如,反應性離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合。蝕刻可以是非等向性的。蝕刻可移除介電層52E及犧牲層53D的在區60中的部分並界定開口61。由於介電層52E及犧牲層53D具有不同的材料組成,因此用於移除這些層的暴露部分的蝕刻劑可不同。在一些實施例中,當蝕刻介電層52E時犧牲層53D用作蝕刻停止層,且當蝕刻犧牲層53D時介電層52D用作蝕刻停止層。因此,可選擇性地移除介電層52E的部分及犧牲層53D的部分但不移除多層堆疊58的其餘層,且開口61可延伸到所期望的深度。作為另外一種選擇,在開口61達到所期望的深度之後,可使用時間模式蝕刻製程停止對開口61的蝕刻。在所得結構中,在區60中暴露出介電層52D。
在圖6中,對光阻56進行修整以暴露出多層堆疊58的附加部分。在一些實施例中,通過使用可接受的移除技術(例如側向蝕刻)來對光阻56進行修整。由於修整,光阻56的寬度減小且可暴露出多層堆疊58的在區60及區62中的部分。舉例來說,可在區60中暴露出介電層52D的頂表面,且可在區62中暴露出介電層52E的頂表面。
在圖7中,通過可接受的蝕刻製程使用光阻56作為罩幕來移除介電層52E的、犧牲層53D的、介電層52D的及犧牲層53C的在區60及區62中的部分。蝕刻可以是任何可接受的蝕刻製程,例如乾式蝕刻(例如RIE、NBE、類似製程)、濕式蝕刻、類似製程或其組合。蝕刻可以是非等向性的。蝕刻可使開口61進一步延伸到多層堆疊58中。由於犧牲層53D及53C與介電層52E及52D具有不同的材料組成,因此用於移除這些層的暴露部分的蝕刻劑可不同。在一些實施例中,通過使用光阻56作為罩幕及使用下伏的犧牲層53D及53C作為蝕刻停止層來移除介電層52E及52D的在區62及60中的部分。此後,通過使用光阻56作為罩幕及使用下伏的介電層52D及52C作為蝕刻停止層來移除犧牲層53D及53C的在區62及60中的暴露部分。在所得結構中,介電層52C在區60中被暴露出,且介電層52D在區62中被暴露出。
在圖8中,對光阻56進行修整以暴露出多層堆疊58的附加部分。在一些實施例中,通過使用可接受的移除技術(例如側向蝕刻)對光阻56進行修整。由於修整,光阻56的寬度減小且可暴露出多層堆疊58的在區60、區62及區64中的部分。舉例來說,可在區60中暴露出介電層52C的頂表面;可在區62中暴露出介電層52D的頂表面;且可在區64中暴露出介電層52E的頂表面。
在圖9中,通過可接受的蝕刻製程使用光阻56作為罩幕來移除介電層52E、52D及52C的以及犧牲層53D、53C及53B的在區60、區62及區64中的部分。蝕刻可以是任何可接受的蝕刻製程,例如乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合。蝕刻可以是非等向性的。蝕刻可使開口61進一步延伸到多層堆疊58中。由於介電層52C到介電層52E及犧牲層53B到犧牲層53D具有不同的材料組成,因此用於移除這些層的暴露部分的蝕刻劑可不同。在一些實施例中,通過使用光阻56作為罩幕及使用下伏的犧牲層53D、53C及53B作為蝕刻停止層來移除介電層52E、52D及52C的在區64、62及60中的部分。此後,通過使用光阻56作為罩幕及使用下伏的介電層52D、52C及52B作為蝕刻停止層來移除犧牲層53D、53C及53B的在區64、62及60中的暴露部分。在所得結構中,介電層52B在區60中被暴露出;介電層52C在區62中被暴露出;且介電層52D在區64中被暴露出。
在圖10中,對光阻56進行修整以暴露出多層堆疊58的附加部分。在一些實施例中,通過使用可接受的移除技術(例如側向蝕刻)來對光阻56進行修整。由於修整,光阻56的寬度減小且可暴露出多層堆疊58的在區60、區62、區64及區66中的部分。舉例來說,可在區60中暴露出介電層52B的頂表面;可在區62中暴露出介電層52C的頂表面;且可在區64中暴露出介電層52D的頂表面;且可在區66中暴露出介電層52E的頂表面。
在圖11中,通過可接受的蝕刻製程使用光阻56作為罩幕來移除介電層52E、52D、52C及52B的在區60、區62、區64及區66中的部分。蝕刻可以是任何可接受的蝕刻製程,例如乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合。蝕刻可以是非等向性的。蝕刻可使開口61進一步延伸到多層堆疊58中。在一些實施例中,通過使用光阻56作為罩幕及使用下伏的犧牲層53D、53C、53B及53A作為蝕刻停止層來移除介電層52E、52D、52C及52B的在區66、64、62及60中的部分。在所得結構中,犧牲層53A在區60中被暴露出;犧牲層53B在區62中被暴露出;犧牲層53C在區64中被暴露出;且犧牲層53D在區66中被暴露出。此後,可通過可接受的灰化製程或濕式剝離製程移除光阻56。
在圖12中,在多層堆疊58之上沉積金屬間介電質(inter-metal dielectric,IMD)70。IMD 70可由介電材料形成且可通過任何適合的方法(例如CVD、PECVD、可流動CVD(flowable CVD,FCVD)或類似製程)來沉積。介電材料可包括磷矽酸鹽玻璃(phospho-silicate glass,PSG)、硼矽酸鹽玻璃(boro-silicate glass,BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phospho-silicate glass,BPSG)、未經摻雜的矽酸鹽玻璃(undoped silicate glass,USG)或類似材料。在一些實施例中,IMD 70可包括氧化物(例如,氧化矽或類似材料)、氮化物(例如,氮化矽或類似材料)、其組合或類似材料。可使用通過任何可接受的製程形成的其他介電材料。IMD 70沿著犧牲層53B到犧牲層53D的側壁及介電層52B到介電層52E的側壁延伸。此外,IMD 70可接觸犧牲層53A到犧牲層53D的頂表面及介電層52E的頂表面。
此後,對IMD 70應用移除製程以移除在多層堆疊58之上的多餘介電材料。在一些實施例中,移除製程可以是平坦化製程,例如化學機械拋光(chemical mechanical polish,CMP)、回蝕製程、其組合或類似製程。平坦化製程暴露出多層堆疊58,以使得在平坦化製程完成之後多層堆疊58的頂表面與IMD 70的頂表面處於同一水平高度。
如圖12中所示,因此形成中間且塊狀的階梯結構。中間階梯結構包括犧牲層53與介電層52的交替層。隨後使用導電線72取代犧牲層53,此將在圖16A及圖16B中加以闡述。下部導電線72較長且在側向上延伸超過上部導電線72,且導電線72中的每一者的寬度在朝向基底50的方向上增大(參見圖1A)。
圖13到圖16B是製造根據一些實施例的鐵電記憶體裝置200的存儲區的中間階段的視圖。在圖13到圖16B中,將塊狀多層堆疊58圖案化以形成穿過塊狀多層堆疊58的溝渠86,且使用導電材料取代犧牲層53以界定導電線72。導電線72可對應於鐵電記憶體裝置200中的字元線,且導電線72可進一步為鐵電記憶體裝置200的所得記憶胞提供閘極電極。沿著圖1A中所說明的參考橫截面C-C’說明圖13、圖14、圖15B及圖16B。以部分三維視圖說明圖15A及圖16A。
在圖13中,在多層堆疊58之上形成光阻圖案82及下伏的硬罩幕圖案80。在一些實施例中,在多層堆疊58之上依序形成硬罩幕層及光阻層。舉例來說,硬罩幕層可包括氮化矽、氮氧化矽或類似材料,所述硬罩幕層可通過CVD、PVD、ALD、PECVD或類似製程來沉積。舉例來說,通過旋轉塗佈技術形成光阻層。
此後,將光阻層圖案化以形成光阻圖案82及位於光阻圖案82之間的溝渠86。舉例來說,通過可接受的微影技術將光阻圖案化。然後,通過使用可接受的蝕刻製程(例如,通過乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合)將光阻圖案82的圖案轉移到硬罩幕層以形成硬罩幕圖案80。蝕刻可以是非等向性的。因此,溝渠86被形成為延伸穿過硬罩幕層。此後,可例如通過灰化製程可選地移除光阻圖案82。
在圖14到圖15B中,使用一種或多種可接受的蝕刻製程(例如通過乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合)將硬罩幕圖案80的圖案轉移到多層堆疊58。蝕刻製程可以是非等向性的。因此,溝渠86延伸穿過塊狀多層堆疊58,且因此界定條形的犧牲層53及條形的介電層52。在一些實施例中,溝渠86延伸穿過塊狀階梯結構,且因此界定條形階梯結構。然後,可通過可接受的製程(例如,濕式蝕刻製程、乾式蝕刻製程、平坦化製程、其組合、或類似製程)移除硬罩幕圖案80。
在圖15到圖16B中,使用導電線72A到導電線72D(被統稱為導電線72)取代犧牲層53A到犧牲層53D(被統稱為犧牲層53)。在一些實施例中,通過可接受的製程(例如濕式蝕刻製程、乾式蝕刻製程或兩者)移除犧牲層53。此後,將導電線72填充到兩個相鄰介電層52之間的空間中。在一些實施例中,每一導電線72包括TiN、TaN、W、Ru、Al、類似材料或其組合。在一些實施例中,每一導電線72由單種材料(例如TiN)製成。在一些實施例中,每一導電線72是多層結構。舉例來說,如局部放大圖中所示,每一導電線72包括兩個障壁層71及75以及位於障壁層71與障壁層75之間的金屬層73。具體來說,障壁層設置在金屬層73與相鄰介電層52之間。障壁層可防止金屬層擴散到相鄰介電層52。障壁層也可提供增大金屬層與相鄰介電層之間的粘合性的功能,且在一些實例中可被稱為膠層。在一些實施例中,視需要提供具有不同材料的障壁層及膠層兩者。障壁層71及75由第一導電材料(例如金屬氮化物,例如氮化鈦、氮化鉭、氮化鉬、氮化鋯、氮化鉿、或類似材料)形成。金屬層73可由第二導電材料(例如金屬,例如鎢、釕、鉬、鈷、鋁、鎳、銅、銀、金、其合金或類似材料)形成。障壁層71、75及金屬層73可各自通過可接受的沉積製程(例如CVD、PVD、ALD、PECVD或類似製程)來形成。將障壁層71、75及金屬層73進一步沉積在多層堆疊58的側壁上且填充在溝渠86中。此後,通過回蝕製程移除溝渠86中的障壁層71、75及金屬層73。可執行可接受的回蝕製程以從介電層52的側壁及溝渠86的底表面移除多餘材料。可接受的回蝕製程包括乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合。可接受的回蝕製程可以是非等向性的。
在一些實施例中,在取代製程之後,隨後使用導電線72(參見圖1A)取代條形階梯結構的犧牲層53。
圖17A到圖19B說明在多層堆疊58的介電層52的側壁上形成緩衝層88。以部分三維視圖說明圖17A、圖18A及圖19A。在圖17B、圖18B及圖19B中,沿著圖1A的線C-C’提供橫截面圖。
在圖17A及圖17B中,使多層堆疊58的介電層52凹陷,以使得在兩個相鄰導電線72之間形成凹槽87。凹槽87連接到對應溝渠86(例如,與對應溝渠86進行空間連通)。具體來說,使介電層52的端部相對於導電線72的由溝渠86暴露出的端部凹陷約1 nm到5 nm。在一些實施例中,通過使用可接受的移除技術(例如側向蝕刻)來對多層堆疊58的介電層52進行修整。蝕刻可包括乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合。蝕刻可以是非等向性的。在凹陷製程之後,多層堆疊58具有彎曲側壁。具體來說,導電線72的端部從剩餘介電層52的端部突出。
在圖18A及圖19B中,在凹槽87中的每一者內形成緩衝層88。在一些實施例中,在多層堆疊58的頂部及側壁上共形且連續地形成緩衝層88。具體來說,可沿著導電線72的側壁及介電層52的側壁在溝渠86中共形地沉積緩衝層88,且緩衝層88沿著介電層52E的頂表面及沿著溝渠86的底表面填充在凹槽87中。在一些實施例中,緩衝層88包括高介電常數材料,例如金屬氧化物。在一些實施例中,金屬氧化物包括La 2O 3、Al 2O 3、MgO或其組合或類似材料。可應用其他材料。在一些實施例中,其他材料包括HfZrO(HZO)、HfAlO、HfLaO、HfCeO、HfO、HfGdO、HfSiO、氧化鋇鈦(BaTiO 3)、氧化鉛鈦(PbTiO 3)、氧化鉛鋯(PbZrO 3)、氧化鋰鈮(LiNbO 3)、氧化鈉鈮(NaNbO 3)、氧化鉀鈮(KNbO 3)、氧化鉀鉭(KTaO 3)、氧化鉍鈧(BiScO 3)、氧化鉍鐵(BiFeO 3)、氧化鉿鉺(Hf 1-xEr xO)、氧化鉿鑭(Hf 1-xLa xO)、氧化鉿釔(Hf 1-xY xO)、氧化鉿釓(Hf 1-xGd xO)、氧化鉿鋁(Hf 1-xAl xO)、氧化鉿鈦(Hf 1-xTi xO)、氧化鉿鉭(Hf 1-xTa xO)或類似材料。在一些實施例中,形成緩衝層88的方法包括執行適合的沉積技術,例如CVD、PECVD、金屬氧化物化學氣相沉積(metal oxide chemical vapor deposition,MOCVD)、ALD、遠程電漿ALD(remote plasma ALD,RPALD)、電漿增強型ALD(plasma-enhanced ALD,PEALD)、分子束沉積(molecular beam deposition,MBD)或類似技術。
在一些實施例中,緩衝層88具有約1 nm到5 nm(例如2 nm、3 nm、4 nm或5 nm)的厚度。可應用其他厚度範圍(例如大於5 nm或1 nm到10 nm)。在一些實施例中,緩衝層88形成為完全非晶狀態。在替代實施例中,緩衝層88形成為部分晶體狀態;即,緩衝層88形成為混合的晶體-非晶狀態且具有某種程度的結構次序。在又一些替代實施例中,緩衝層88形成為完全晶體狀態。在一些實施例中,緩衝層88是單層。在替代實施例中,緩衝層88是多層結構,所述多層結構包括與對應介電層52接觸的內襯層(例如,Al 2O 3)及在內襯層外側的外襯層(例如,La 2O 3)。
在圖19A及圖19B中,對連續緩衝層88執行回蝕製程。可執行可接受的回蝕製程以從導電線72的側壁及溝渠86的底表面移除多餘材料。可接受的回蝕製程包括乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合。蝕刻可以是非等向性的。
在回蝕製程之後,圖18B的連續緩衝層被分割成圖19B中的多個單獨緩衝層88。單獨緩衝層88分別嵌置在凹槽87中。在一些實施例中,單獨緩衝層88被稱為不連續緩衝層,且緩衝層的部分分別嵌置在凹槽87中。在一些實施例中,如圖19B的左上方上的局部放大圖中所示,每一緩衝層88a的側壁與相鄰導電線72的側壁實質上處於同一水平高度。在一些實施例中,如圖19B的右上方的局部放大圖中所示,每一緩衝層88b的側壁從相鄰導電線72的側壁輕微凹陷非零距離d。舉例來說,非零距離d介於約1 nm到5 nm範圍內。
此後,對緩衝層88執行退火製程89。退火製程89的溫度範圍介於約300℃到約450℃(例如,350℃到約400℃)範圍內,以達成緩衝層88的所期望晶格結構。在一些實施例中,在退火製程89之後,緩衝層88從非晶狀態轉變為部分晶體狀態或完全晶體狀態。在替代實施例中,在退火之後,緩衝層88從部分晶體狀態轉變為完全晶體狀態。
圖20A到圖25B說明在溝渠86中形成記憶胞202(參見圖1A)的通道區並將所述通道區圖案化。以部分三維視圖說明圖20A、圖21A及圖25A。在圖20B、圖21B、圖22、圖23、圖24及圖25B中,沿著圖1A的線C-C’提供橫截面圖。
在一些實施例中,進一步在IMD 70上及沿著每一條形階梯結構的側壁形成圖18B的緩衝層,且進一步對階梯區中的緩衝層執行圖19B的回蝕製程。因此,階梯結構的介電臺階中的每一者包括介電層52及位於介電層52旁邊的兩個緩衝層88,如圖1A中所示。
在圖20A到圖23中,在溝渠86中沉積鐵電層90、通道層92及介電材料98A。
在圖20A及圖20B中,可沿著導電線72的側壁及緩衝層88的側壁及沿著介電層52E的頂表面以及沿著溝渠86的底表面在溝渠86中共形地沉積鐵電層90。在一些實施例中,可進一步在IMD 70上及沿著階梯區中的階梯結構的每一臺階的側壁沉積鐵電層90。鐵電層90可包括通過在鐵電層90兩端施加適當電壓差而能夠在兩個不同的極化方向之間進行切換的材料。舉例來說,鐵電層90包括高介電常數介電材料,例如鉿(Hf)系介電材料或類似材料。在一些實施例中,鐵電層90包括氧化鉿、氧化鉿鋯、摻雜矽的氧化鉿或類似材料。
在一些實施例中,鐵電層90在實施例中是摻雜有Al、Si、Zr、La、Gd或Y的氧化鉿(HfO 2)。在一些實施例中,鐵電材料(例如HZO、HSO、HfSiO、HfLaO、HfZrO 2(HZO)或ZrO 2)用作鐵電材料。可使用適合的形成方法(例如PVD、CVD、ALD或類似製程)形成鐵電層90。
在一些實施例中,鐵電層90具有約1 nm到20 nm(例如5 nm到10 nm)的厚度。可應用其他厚度範圍(例如,大於20 nm或5 nm到15 nm)。鐵電層90對緩衝層88的厚度比率介於約1:1到1:20(例如1:5到1:10)範圍內。在一些實施例中,鐵電層90形成為完全非晶狀態。在替代實施例中,鐵電層90形成為部分晶體狀態;即,鐵電層90形成為混合的晶體-非晶狀態且具有某種程度的結構次序。在又一些替代實施例中,鐵電層90形成為完全晶體狀態。在一些實施例中,鐵電層90是單層。在替代實施例中,鐵電層90是多層結構。
在一些實施例中,如圖20B的左上方上的局部放大圖中所示,鐵電層90a共形地形成在多層堆疊58的側壁上且因此具有實質上平滑的側壁輪廓。在一些實施例中,鐵電層90a的靠近緩衝層88a及遠離緩衝層88a的相對表面是實質上筆直的,如圖20B的左上方上的局部放大圖中所示。
在一些實施例中,如圖20B的右上方上的局部放大圖中所示,鐵電層90b共形地形成在多層堆疊58的側壁上且因此具有不平整且波浪形的側壁輪廓。在一些實施例中,鐵電層90b的靠近緩衝層88b及遠離緩衝層88b的相對表面是不平整且波浪形的,如圖20B的右上方上的局部放大圖中所示。在一些實施例中,鐵電層90b的靠近緩衝層88b的表面是波浪形的,而鐵電層90b的遠離緩衝層88b的表面是實質上筆直的。
此後,對鐵電層90執行退火製程91。退火製程91的溫度範圍介於約300℃到約450℃(例如,350℃到約400℃)範圍內,以達成鐵電層90的所期望晶格結構。在一些實施例中,在退火製程91之後,鐵電層90從非晶質狀態轉變為部分晶體狀態或完全晶體狀態。在替代實施例中,在退火之後,鐵電層90從部分晶體狀態轉變為完全晶體狀態。
在一些實施例中,每一緩衝層88包括與鐵電層90的材料不同的材料。舉例來說,每一緩衝層88包括La 2O 3、Al 2O 3、MgO或其組合,且鐵電層90包括HfZrO、HfAlO、HfLaO、HfCeO、HfO、HfGdO、HfSiO或其組合或類似材料。在替代實施例中,緩衝層88與鐵電層90包括相同的材料,例如HfZrO(HZO)。
在一些實施例中,鐵電層90與介電層52中的每一者之間設置有金屬氧化物緩衝層88。金屬氧化物部分地取代氧化矽且仿效金屬表面,因此鐵電層90會更均勻地生長,且因此改善裝置性能。
在圖21A及圖21B中,在溝渠86中在鐵電層90之上共形地沉積通道層92。通道層92包括適合於為記憶胞202(參見圖1A)提供通道區的材料。舉例來說,通道層92包括氧化物半導體(OS),例如氧化鋅(ZnO)、氧化銦鎢(InWO)、氧化銦鎵鋅(InGaZnO、IGZO)、氧化銦鋅(InZnO)、氧化銦錫(ITO)、其組合或類似材料。在一些實施例中,通道層92包括多晶矽(poly-Si)、非晶矽(a-Si)或類似物。可通過CVD、PVD、ALD、PECVD或類似製程沉積通道層92。通道層92可在鐵電層90之上沿著溝渠86的側壁及底表面延伸。在一些實施例中,可進一步在IMD 70上及沿著階梯區中的階梯結構的每一臺階的側壁沉積通道層92。在沉積通道層92之後,可在氧氣相關周圍環境中執行退火步驟(例如,在約300℃到約450℃的溫度範圍下)以啟動通道層92的電荷載流子。
在圖22中,在通道層92之上在溝渠86中沉積介電材料98A。在一些實施例中,介電材料98A包括氧化矽、氮化矽、氮氧化矽或類似材料,介電材料98A可通過CVD、PVD、ALD、PECVD或類似材料來沉積。介電材料98A可沿著溝渠86的側壁及底表面在通道層92之上延伸。在一些實施例中,介電材料98A是可選的且可視需要省略。
在圖23中,在溝渠86中移除介電材料98A的底部部分及通道層92的底部部分。移除製程包括可接受的蝕刻製程,例如乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合。蝕刻可以是非等向性的。在一些實施例中,從多層堆疊58移除介電材料98A的頂部部分及通道層92的頂部部分。在一些實施例中,移除製程包括微影與蝕刻的組合。
因此,剩餘的介電材料98A及通道層92可暴露出鐵電層90在溝渠86的底表面上的部分。因此,通道層92在溝渠86的相對側壁上的部分可彼此隔開,此會改善記憶體陣列(如鐵電記憶體裝置200)的記憶胞202(參見圖1A)之間的隔離。
在圖24中,沉積介電材料98B以完全填充溝渠86。介電材料98B可由一種或多種材料且通過與介電材料98A的製程相同或類似的製程形成。在一些實施例中,介電材料98B與介電材料98A包括不同的材料。
在圖25A及圖25B中,對介電材料98A/98B、通道層92及鐵電層90應用移除製程以移除多層堆疊58之上的多餘材料。在一些實施例中,可利用平坦化製程(例如CMP、回蝕製程、其組合或類似製程)。平坦化製程暴露出多層堆疊58,以使得在平坦化製程完成之後多層堆疊58(例如,介電層52E)的頂表面、鐵電層90的頂表面、通道層92的頂表面、介電材料98A/98B的頂表面及IMD 70的頂表面處於同一水平高度。
圖26A到圖29B說明製造鐵電記憶體裝置200中的導電柱106及108(例如,源極/汲極柱)的中間步驟。導電柱106及108可沿著與導電線72垂直的方向延伸,以使得可選擇鐵電記憶體裝置200的個別單元來進行讀取及寫入操作。以部分三維視圖說明圖26A、圖27A、圖28A及圖29A。在圖26B及圖27B中,沿著圖1A的線C-C’提供橫截面圖。在圖28B及圖29B中,沿著圖1A的線D-D’提供橫截面圖。
在圖26A及圖26B中,將溝渠100圖案化成穿過通道層92及介電材料98A/98B。舉例來說,可通過微影與蝕刻的組合執行溝渠100的圖案化。溝渠100可設置在鐵電層90的相對側壁之間,且溝渠100可在實體上將鐵電記憶體裝置200(參見圖1A)中的相鄰記憶胞堆疊隔開。
在圖27A及圖27B中,在溝渠100中形成隔離柱102。在一些實施例中,在多層堆疊58之上沉積隔離層,從而填充在溝渠100中。舉例來說,隔離層可包括氧化矽、氮化矽、氮氧化矽或類似材料,所述隔離層可通過CVD、PVD、ALD、PECVD或類似製程來沉積。隔離層可在通道層92之上沿著溝渠100的側壁及底表面延伸。在沉積之後,可執行平坦化製程(例如,CMP、回蝕或類似製程)以移除隔離層的多餘部分。在所得結構中,多層堆疊58的頂表面(例如,介電層52E)、緩衝層88、鐵電層90、通道層92及隔離柱102可實質上處於同一水平高度(例如,處於製程變化內)。在一些實施例中,介電材料98A/98B的材料及隔離柱102的材料可被選擇成使得這些材料可相對於彼此被選擇性地蝕刻。舉例來說,在一些實施例中,介電材料98A/98B包括氧化物而隔離柱102包括氮化物。在一些實施例中,介電材料98A/98B包括氮化物而隔離柱102包括氧化物。其他材料也是可能的。
在圖28A及圖28B中,為隨後形成的導電柱106及108界定溝渠104。舉例來說,使用微影與蝕刻的組合將介電材料98A/98B圖案化來形成溝渠104。在一些實施例中,如圖28A中所示,在多層堆疊58、介電材料98A/98B、隔離柱102、通道層92及鐵電層90之上形成光阻118。在一些實施例中,通過可接受的微影技術將光阻118圖案化以界定開口120。開口120中的每一者可暴露出對應隔離柱102及位於隔離柱102旁邊的介電材料98A/98B的兩個分離區。如此一來,開口120中的每一者可界定被隔離柱102隔開的導電柱106與相鄰導電柱108的圖案。
隨後,可通過可接受的蝕刻製程(例如通過乾式蝕刻(例如RIE、NBE、類似蝕刻)、濕式蝕刻、類似蝕刻或其組合)移除介電材料98A/98B的被開口120暴露出的部分。蝕刻可以是非等向性的。蝕刻製程可使用能蝕刻介電材料98A/98B但不會明顯地蝕刻隔離柱102的蝕刻劑。因此,即使開口120暴露出隔離柱102,仍可不明顯地移除隔離柱102。溝渠104的圖案可對應於導電柱106及108(參見圖29A及圖29B)。在將溝渠104圖案化之後,例如可通過灰化移除光阻118。
在圖29A及圖29B中,使用導電材料填充溝渠104以形成導電柱106及108。所述導電材料可包括銅、鈦、氮化鈦、鉭、氮化鉭、鎢、釕、鋁、其組合或類似材料,所述導電材料可例如使用CVD、ALD、PVD、PECVD、或類似製程來形成。在沉積導電材料之後,可執行平坦化(例如,CMP、回蝕或類似製程)以移除導電材料的多餘部分,從而形成導電柱106及108。在所得結構中,多層堆疊58的頂表面(例如,介電層52E)、緩衝層88、鐵電層90、通道層92、導電柱106及導電柱108可實質上處於同一水平高度(例如,處於製程變化內)。在一些實施例中,導電柱106對應於記憶體陣列中的位元線且電連接到記憶體陣列中的位元線,且導電柱108對應於鐵電記憶體裝置200中的源極線且電連接到鐵電記憶體裝置200中的源極線。
因此,堆疊的記憶胞202可形成在鐵電記憶體裝置200中,如圖29A中所示。每一記憶胞202包括閘極電極(例如,對應的導電線72的一部分)、閘極介電質(例如,對應的鐵電層90的一部分)、通道區(例如,對應的通道層92的一部分)及源極/汲極柱(例如,對應的導電柱106及108的部分)。隔離柱102將位於同一行中且位於同一垂直水平高度的相鄰的記憶胞202隔離。記憶胞202可被設置成垂直堆疊的行與列的陣列。
在圖30A、圖30B、圖30C、圖30D及圖30E中,在多層堆疊58的頂表面(例如,介電層52E)、緩衝層88、鐵電層90、通道層92、導電柱106及導電柱108以及IMD 70上形成IMD 74。使導電接觸件110、112及114分別位於導電線72、導電柱106及導電柱108上。圖30A說明鐵電記憶體裝置200的立體圖;圖30B說明裝置的沿著圖1A的線D-D’的橫截面圖;圖30C說明鐵電記憶體裝置200的俯視圖;且圖30D說明沿著圖30A的線E-E’的橫截面圖;且圖30E說明裝置的沿著圖1A的線B-B’的橫截面圖。
IMD 74可由介電材料形成,且可通過任何適合的方法(例如CVD、PECVD、可流動CVD(FCVD)或類似製程)來沉積。介電材料可包括磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、摻雜硼的磷矽酸鹽玻璃(BPSG)、未經摻雜的矽酸鹽玻璃(USG)或類似材料。在一些實施例中,IMD 74可包括氧化物(例如,氧化矽或類似材料)、氮化物(例如,氮化矽或類似材料)、其組合或類似材料。可使用通過任何可接受的製程形成的其他介電材料。此後,對IMD 74應用移除製程以移除多層堆疊58之上的多餘介電材料。在一些實施例中,移除製程可以是平坦化製程,例如化學機械拋光(CMP)、回蝕製程、其組合或類似製程。
在一些實施例中,導電線72的階梯形狀可在導電線72中的每一者上提供導電接觸件110著落在上面的表面。在一些實施例中,形成導電接觸件110可包括例如使用微影與蝕刻的組合在IMD 74及IMD 70中圖案化出開口以暴露出導電線72的部分。在開口中形成例如擴散障壁層、粘合層或類似層等襯層(未示出)及導電材料。襯層可包括鈦、氮化鈦、鉭、氮化鉭或類似材料。導電材料可包括銅、銅合金、銀、金、鎢、鈷、鋁、鎳或類似材料。可執行平坦化製程(例如CMP)以從IMD 74的表面移除多餘材料。剩餘的襯層及導電材料在開口中形成導電接觸件110。
還如圖30A的立體圖所說明,也可使導電接觸件112及114分別位於導電柱106及導電柱108上。導電接觸件112、114及110可分別電連接到導電線116A、116B及116C,此會將記憶體陣列連接到下伏/上覆的電路系統(例如,控制電路系統)及/或半導體晶粒中的訊號線、電源線及接地線。舉例來說,如圖30D中所示,導電接觸件110可延伸穿過IMD 74及IMD 70以將導電線116C電連接到導電線72及基底上的下伏主動裝置。其他導電接觸件或導通孔可被形成為穿過IMD 74以將導電線116A及116B電連接到基底上的下伏主動裝置。在替代實施例中,除內連結構320之外或代替內連結構320,還可通過形成在鐵電記憶體裝置200之上的內連結構提供往來於記憶體陣列的佈線及/或電源線。因此,可完成鐵電記憶體裝置200。
儘管圖1到圖29B的實施例說明導電柱106及108的特定圖案,但其他配置也是可能的。舉例來說,在這些實施例中,導電柱106及108具有交錯圖案。然而,在其他實施例中,處於陣列的同一行中的導電柱106及108彼此全部對齊,如圖32的鐵電記憶體裝置200A中所示。
圖31說明形成根據一些實施例的鐵電記憶體裝置的方法。儘管將方法說明及/或闡述為一系列動作或事件,但將瞭解所述方法並不僅限於所說明的次序或動作。因此,在一些實施例中,動作可按照與所說明的次序不同的次序施行及/或可同時施行。此外,在一些實施例中,所說明的動作或事件可被細分成多個動作或事件,所述多個動作或事件可在單獨的時間施行或與其他動作或子動作同時施行。在一些實施例中,可省略一些所說明的動作或事件,且可包括其他未說明的動作或事件。
在動作400處,在基底上形成多層堆疊。所述多層堆疊包括交替堆疊的多個介電層及多個導電層且具有穿透過所述多層堆疊的溝渠。圖13到圖16B說明與動作400的一些實施例對應的不同視圖。
在動作402處,使由溝渠的側壁暴露出的所述多個介電層凹陷且因此形成多個凹槽,且所述多個凹槽中的一者形成在兩個相鄰導電層之間。在一些實施例中,使介電層凹陷包括執行蝕刻製程,例如側向蝕刻製程。圖13到圖17B說明與動作402的一些實施例對應的不同視圖。
在動作404處,在所述多個凹槽內分別形成多個緩衝層。在一些實施例中,形成緩衝層的方法包括在多層堆疊的側壁上共形且連續地形成緩衝材料,且所述緩衝材料填充在凹槽中。此後,對緩衝材料執行回蝕製程以移除緩衝材料的位於多層堆疊的導電層的側壁上的部分。圖19A到圖19B說明與動作404的一些實施例對應的不同視圖。
在動作405處,對緩衝層執行第一退火製程。在一些實施例中,第一退火製程的溫度範圍介於約300℃到約450℃範圍內,以達成緩衝層的所期望晶格結構。此溫度範圍適於進行BEOL製程。圖19B說明與動作405的一些實施例對應的橫截面圖。
在動作406處,在溝渠的側壁上形成鐵電層,其中所述鐵電層覆蓋緩衝層的側壁及導電層的側壁。圖20A到圖20B說明與動作406的一些實施例對應的不同視圖。
在動作407處,對鐵電層執行第二退火製程。在一些實施例中,第二退火製程的溫度範圍介於約300℃到約450℃範圍內,以達成緩衝層的所期望晶格結構。此溫度範圍適於進行BEOL製程。圖20B說明與動作407的一些實施例對應的橫截面圖。在一些實施例中,第二退火製程的溫度範圍與第一退火製程的溫度範圍相同。在其他實施例中,第二退火製程的溫度範圍不同於(例如,高於或低於)第一退火製程的溫度範圍。
在動作408處,在鐵電層上形成通道層。圖21A到圖23說明與動作408的一些實施例對應的不同視圖。
圖33說明根據一些實施例的鐵電記憶體裝置的簡化立體圖。鐵電記憶體裝置200’類似於圖1A的鐵電記憶體裝置200,但緩衝層88被從階梯形區移除。具體來說,當在圖16A到圖29A的製程期間界定記憶胞202時,階梯結構維持塊狀階梯結構而不是上文所述的條形的多個階梯結構。具體來說,兩個塊狀階梯結構設置在記憶胞區的兩側處。在界定記憶胞202之後,在記憶胞區的兩側處將兩個塊狀階梯結構分割成多個條形的階梯結構。
下文參考圖1A到圖33闡述本公開的鐵電記憶體裝置的結構。
在一些實施例中,鐵電記憶體裝置200/200A/200’包括多層堆疊58、通道層92、鐵電層90及緩衝層88。多層堆疊58設置在基底50之上且包括交替堆疊的多個導電層(例如,導電線72)及多個介電層52。通道層92穿透過所述多個導電層(例如,導電線72)及所述多個介電層52。鐵電層90設置在通道層92與所述多個導電層(例如,導電線72)及所述多個介電層52中的每一者之間。緩衝層88包括金屬氧化物,且一個緩衝層88設置在鐵電層90與所述多個介電層52中的每一者之間。緩衝層88中的每一者可為單層或具有多層結構。
在一些實施例中,如圖20B中所示,介電層52的端部相對於導電層(例如,導電線72)的端部凹陷。在一些實施例中,緩衝層88的側壁與導電層(例如,導電線72)的側壁實質上齊平。在一些實施例中,緩衝層88的側壁相對於導電層(例如,導電線72)的側壁而呈凹形或凸形。
在一些實施例中,緩衝層88包括La 2O 3、Al 2O 3、MgO或其組合。在一些實施例中,鐵電層90包括HfZrO、HfAlO、HfLaO、HfCeO、HfO、HfGdO、HfSiO或其組合。在一些實施例中,緩衝層88包括與鐵電層90的材料相同的材料。在替代實施例中,緩衝層88包括與鐵電層90的材料不同的材料。
在一些實施例中,緩衝層88具有約1 nm到5 nm(例如2 nm到3 nm)的厚度。在一些實施例中,鐵電層90具有約1 nm到20 nm(例如,約5 nm到20 nm)的厚度。
在一些實施例中,鐵電記憶體裝置200/200A/200’包括多層堆疊58、多個隔離結構(例如,介電材料98A/98B)、通道層92及鐵電層90。多層堆疊58設置在基底50上且包括交替堆疊的多個閘極電極層(例如,導電線72)及多個介電層52。隔離結構(例如,介電材料98A/98B)設置在基底50上且穿透過多層堆疊58。通道層92設置在多層堆疊58與隔離結構(例如,介電材料98A/98B)中的每一者之間。鐵電層90設置在通道層92與多層堆疊58之間,其中鐵電層90接觸閘極電極層(例如,導電線72)中的每一者但與介電層52中的每一者隔開。
在一些實施例中,鐵電記憶體裝置200/200A/200’更包括位於鐵電層90與介電層52中的每一者之間的緩衝層88。在一些實施例中,緩衝層88包括第一金屬氧化物材料,鐵電層90包括第二金屬氧化物材料,且通道層92包括氧化物半導體材料。
在一些實施例中,鐵電記憶體裝置200/200A/200’更包括設置在基底50上且穿透過多層堆疊58的多個導電柱106及108。在一些實施例中,所述多個隔離結構(例如,介電材料98A/98B)中的每一者在各自的兩端處設置有兩個導電柱106及108。
在一些實施例中,隔離結構(例如,介電材料98A/98B)呈交錯排列。具體來說,相鄰列的隔離結構以交錯方式排列,如圖29A中所示。然而,本公開並不僅限於此。在一些實施例中,相鄰列的隔離結構(例如,介電材料98A/98B)排列成規則的陣列且彼此對齊,如圖32中所示。隔離結構(例如,介電材料98A/98B)中的每一者設置在兩個記憶體裝置之間。
在本公開的一些實施例中,金屬氧化物緩衝層設置在鐵電層與介電層中的每一者之間。金屬氧化物部分地取代氧化矽且仿效金屬表面。金屬氧化物緩衝層及金屬層與鐵電層的接觸表面提供類似的性質及晶體程度,因此鐵電層可更均勻地生長,且因此改善裝置性能。
在以上實施例中,通過「先階梯製程」形成鐵電記憶體裝置,在所述「先階梯製程」中,在形成記憶胞之前形成階梯結構。然而,本公開並不僅限於此。在其他實施例中,可通過「後階梯製程」形成鐵電記憶體裝置,在所述「後階梯製程」中,在形成記憶胞之後形成階梯結構。
在以上實施例中,通過沉積犧牲介電層後續接著通過使用導電層取代犧牲介電層來形成閘極電極(例如,字元線)。然而,本公開並不僅限於此。在其他實施例中,可視需要在第一階段中形成閘極電極(例如,字元線)而無需取代步驟。
本公開涵蓋以上實例的許多變化。應理解,不同的實施例可具有不同的優點,且不存在全部實施例皆必須需要的特定優點。
根據本公開的一些實施例,一種裝置包括多層堆疊、通道層、鐵電層及緩衝層。所述多層堆疊設置在基底上且包括交替堆疊的多個導電層及多個介電層。所述通道層穿透過所述多個導電層及所述多個介電層。所述鐵電層設置在所述通道層與所述多個導電層及所述多個介電層中的每一者之間。所述緩衝層包括金屬氧化物,且所述緩衝層中的一者設置在所述鐵電層與所述多個介電層中的每一者之間。
在一些實施例中,所述多個緩衝層中的一者的上表面與上覆的導電層的下表面實質上處於同一水平高度。在一些實施例中,所述多個緩衝層的側壁與所述多個導電層的側壁實質上齊平。在一些實施例中,所述多個緩衝層包括La 2O 3、Al 2O 3、MgO或其組合。在一些實施例中,所述鐵電層包括HfZrO、HfAlO、HfLaO、HfCeO、HfO、HfGdO、HfSiO或其組合。在一些實施例中,所述多個緩衝層包括與所述鐵電層的材料相同的材料。在一些實施例中,所述多個緩衝層包括與所述鐵電層的材料不同的材料。在一些實施例中,所述多個緩衝層具有約1 nm到5 nm的厚度。在一些實施例中,所述鐵電層具有約1 nm到20 nm的厚度。
根據本公開的替代實施例,一種裝置包括多層堆疊、多個隔離結構、通道層及鐵電層。所述多層堆疊設置在基底上且包括交替堆疊的多個閘極電極層及多個介電層。所述隔離結構設置在所述基底上且穿透過所述多層堆疊。所述通道層設置在所述多層堆疊與所述隔離結構中的每一者之間。所述鐵電層設置在所述通道層與所述多層堆疊之間,其中所述鐵電層接觸所述多層堆疊的所述閘極電極層中的每一者但與所述多層堆疊的所述介電層中的每一者隔開。
在替代實施例中,更包括多個緩衝層,所述多個緩衝層中的每一者設置在所述鐵電層與所述多個介電層中的每一者之間。在替代實施例中,所述多個緩衝層包括金屬氧化物。在替代實施例中,所述鐵電層包括金屬氧化物。在替代實施例中,更包括多個導電柱,所述多個導電柱設置在所述基底上且穿透過所述多層堆疊,其中所述多個隔離結構中的每一者在各自的兩端處設置有兩個導電柱。在替代實施例中,所述通道層包括氧化物半導體材料。在替代實施例中,所述多個隔離結構中的每一者設置在兩個鐵電記憶體裝置之間。
根據本公開的又一些替代實施例,一種形成裝置的方法包括以下操作。在基底上形成多層堆疊。所述多層堆疊包括交替堆疊的多個介電層及多個導電層且具有穿透過所述多層堆疊的溝渠。使由所述溝渠的側壁暴露出的所述介電層凹陷,以使得在兩個相鄰導電層之間形成凹槽。在所述凹槽中的每一者內形成緩衝層。在所述溝渠的所述側壁上形成鐵電層,其中所述鐵電層覆蓋所述緩衝層的側壁及所述導電層的側壁。在所述鐵電層上形成通道層。
在又一些替代實施例中,更包括在形成所述多個緩衝層之後且在形成所述鐵電層之前,對所述多個緩衝層執行退火製程。在又一些替代實施例中,使所述多個介電層凹陷包括執行蝕刻製程。在又一些替代實施例中,形成所述多個緩衝層包括:在所述多層堆疊的側壁上共形且連續地形成緩衝材料,其中所述緩衝材料填充在所述多個凹槽中;以及對所述緩衝材料執行回蝕製程。
上述內容概述了若干實施例的特徵,以使所屬領域的技術人員可更好地理解本公開的各個方面。所屬領域的技術人員應瞭解,他們可容易地使用本公開作為設計或修改其他製程及結構的基礎以施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。所屬領域的技術人員還應意識到這些等效構造並不背離本公開的精神及範圍,且他們可在不背離本公開的精神及範圍的情況下在本文中做出各種變化、代替及變動。
50:基底 52、52A、52B、52C、52D、52E、324:介電層 53、53A、53B、53C、53D:犧牲層 56、118:光阻 58:多層堆疊 60、62、64、66:區 61、120:開口 70:金屬間介電質(IMD) 71、75:障壁層 72、72A、72B、72C、72D、116A、116B、116C:導電線 73:金屬層 74:IMD 80:硬罩幕圖案 82:光阻圖案 86、100、104:溝渠 87:凹槽 88、88a、88b:緩衝層 89、91:退火製程 90、90a、90b:鐵電層 92:通道層 98A、98B:介電材料 102:隔離柱 106、108:導電柱 110、112、114:導電接觸件 200:鐵電記憶體裝置 200’:鐵電記憶體裝置 200A:鐵電記憶體裝置 202:記憶胞 206:箭頭 302:閘極介電層 304:閘極電極 306:源極/汲極區 308:閘極間隔件 310:第一層間介電質 312:第二層間介電質 314:源極/汲極接觸件 316:閘極接觸件 320:內連結構 322:導電特徵 400、402、404、405、406、407、408:動作 d:非零距離
結合附圖閱讀以下詳細說明,將最佳地理解本揭露的各個態樣。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1A、圖1B及圖1C說明根據一些實施例的鐵電記憶體裝置的簡化立體圖、電路圖及俯視圖。 圖2、圖3、圖4、圖5、圖6、圖7、圖8、圖9、圖10、圖11、圖12、圖13、圖14、圖15A、圖15B、圖16A、圖16B、圖17A、圖17B、圖18A、圖18B、圖19A、圖19B、圖20A、圖20B、圖21A、圖21B、圖22、圖23、圖24、圖25A、圖25B、圖26A、圖26B、圖27A、圖27B、圖28A、圖28B、圖29A、圖29B、圖30A、圖30B、圖30C、圖30D及圖30E說明製造根據一些實施例的鐵電記憶體裝置的不同視圖。 圖31說明形成根據一些實施例的鐵電記憶體裝置的方法。 圖32說明根據一些實施例的鐵電記憶體裝置的簡化立體圖。 圖33說明根據一些實施例的鐵電記憶體裝置的簡化立體圖。
52:介電層
72:導電線
88:緩衝層
90:鐵電層
92:通道層
98A、98B:介電材料
102:隔離柱
106、108:導電柱
200:鐵電記憶體裝置
202:記憶胞
206:箭頭

Claims (1)

  1. 一種鐵電記憶體裝置,包括: 多層堆疊,設置在基底上且包括交替堆疊的多個導電層及多個介電層; 通道層,穿透過所述多個導電層及所述多個介電層; 鐵電層,設置在所述通道層與所述多個導電層及所述多個介電層中的每一者之間;以及 多個緩衝層,包括金屬氧化物,所述多個緩衝層中的一者設置在所述鐵電層與所述多個介電層中的每一者之間。
TW110118178A 2020-05-29 2021-05-20 鐵電記憶體裝置及其形成方法 TW202213746A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063031579P 2020-05-29 2020-05-29
US63/031,579 2020-05-29
US17/113,106 US11647635B2 (en) 2020-05-29 2020-12-07 Ferroelectric memory device and method of forming the same
US17/113,106 2020-12-07

Publications (1)

Publication Number Publication Date
TW202213746A true TW202213746A (zh) 2022-04-01

Family

ID=77325151

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110118178A TW202213746A (zh) 2020-05-29 2021-05-20 鐵電記憶體裝置及其形成方法

Country Status (3)

Country Link
US (1) US20220367515A1 (zh)
CN (1) CN113299662A (zh)
TW (1) TW202213746A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11729986B2 (en) * 2020-05-28 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same
US11910617B2 (en) 2020-05-28 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9842651B2 (en) * 2015-11-25 2017-12-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin film transistor strings
US9831118B1 (en) * 2016-05-24 2017-11-28 Sandisk Technologies Llc Reducing neighboring word line in interference using low-k oxide
US9991277B1 (en) * 2016-11-28 2018-06-05 Sandisk Technologies Llc Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
KR20180131118A (ko) * 2017-05-31 2018-12-10 에스케이하이닉스 주식회사 강유전층을 구비하는 반도체 장치 및 그 제조 방법
EP3499580A1 (en) * 2017-12-18 2019-06-19 IMEC vzw Method of manufacturing a 3d semiconductor memory device and device manufactured using such method
KR20200132493A (ko) * 2019-05-17 2020-11-25 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
US20230354608A1 (en) * 2020-04-15 2023-11-02 Sandisk Technologies Llc Three-dimensional memory device including replacement insulating layers and methods of forming the same
US11282848B2 (en) * 2020-05-18 2022-03-22 Sandisk Technologies Llc Three-dimensional memory device including ferroelectric-metal-insulator memory cells and methods of making the same

Also Published As

Publication number Publication date
US20220367515A1 (en) 2022-11-17
CN113299662A (zh) 2021-08-24

Similar Documents

Publication Publication Date Title
TWI769757B (zh) 鐵電記憶體元件及其形成方法
US20210375933A1 (en) Ferroelectric memory device and method of forming the same
US11729988B2 (en) Memory device comprising conductive pillars and method of forming the same
TWI797568B (zh) 記憶單元、半導體裝置及其製作方法
TW202218129A (zh) 三維記憶體裝置製造的方法
TWI737565B (zh) 記憶體陣列及其製造方法
US11647635B2 (en) Ferroelectric memory device and method of forming the same
TWI780773B (zh) 記憶體裝置及其形成方法
US20220367515A1 (en) Ferroelectric memory device and method of forming the same
US20230255032A1 (en) Memory devices
TW202203429A (zh) 記憶體元件及其形成方法
TWI807270B (zh) 記憶胞、半導體元件及形成半導體元件的方法
TW202236621A (zh) 三維記憶體元件及其製造方法
TWI758123B (zh) 鐵電記憶體裝置及其形成方法
TWI796617B (zh) 記憶胞、記憶裝置與其形成方法
US11844224B2 (en) Memory structure and method of forming the same
TW202230744A (zh) 記憶體元件
TW202145217A (zh) 記憶胞、記憶元件及其形成方法
TWI759123B (zh) 鐵電記憶體裝置及其形成方法
US20240081078A1 (en) Memory device and method of forming the same
US20240064994A1 (en) Memory device and method of forming the same