DE102020133683A1 - Ferroelektrische speichervorrichtung ung verfahren zu deren herstellung - Google Patents

Ferroelektrische speichervorrichtung ung verfahren zu deren herstellung Download PDF

Info

Publication number
DE102020133683A1
DE102020133683A1 DE102020133683.7A DE102020133683A DE102020133683A1 DE 102020133683 A1 DE102020133683 A1 DE 102020133683A1 DE 102020133683 A DE102020133683 A DE 102020133683A DE 102020133683 A1 DE102020133683 A1 DE 102020133683A1
Authority
DE
Germany
Prior art keywords
layers
layer
ferroelectric
dielectric
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020133683.7A
Other languages
English (en)
Inventor
Chun-Chieh Lu
Sai-Hooi Yeong
Bo-Feng YOUNG
Yu-Ming Lin
Chih-Yu Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/117,570 external-priority patent/US11729986B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020133683A1 publication Critical patent/DE102020133683A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/223Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements using MOS with ferroelectric gate insulating film
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

Eine ferroelektrische Speichervorrichtung weist einen mehrschichtigen Stapel, eine Kanalschicht, eine ferroelektrische Schicht und Sauerstofffängerschichten auf. Der mehrschichtige Stapel ist auf einem Substrat angeordnet und weist mehrere leitfähige Schichten und mehrere Dielektrikumsschichten auf, die abwechselnd gestapelt sind. Die Kanalschicht durchdringt die mehreren leitfähigen Schichten und die mehreren Dielektrikumsschichten. Die ferroelektrische Schicht ist zwischen der Kanalschicht und sowohl den mehreren leitfähigen Schichten als auch den mehreren Dielektrikumsschichten angeordnet. Die Sauerstofffängerschichten sind entlang Seitenwänden der mehreren leitfähigen Schichten angeordnet. Die mehreren Sauerstofffängerschichten trennen die ferroelektrische Schicht lateral von den mehreren leitfähigen Schichten.

Description

  • VERWEIS AUF VERWANDTE ANMELDUNG
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patenanmeldung Nr. 63/031,045 , eingereicht am 28. Mai 2020, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • HINTERGRUND
  • Halbleitervorrichtungen werden in vielerlei elektronischen Anwendungen verwendet, z.B. in Personal-Computern, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten. Halbleitervorrichtungen werden typischerweise hergestellt, indem nacheinander isolierende oder dielektrische Schichten, leitfähige Schichten und Halbleiterschichten über einem Halbleitersubstrat aufgebracht werden und die verschiedenen Materialschichten durch Lithographie und Ätztechniken strukturiert werden, um darauf Schaltungskomponenten und Elemente zu bilden.
  • In der Halbleiterindustrie wird die Integrationsdichte verschiedener elektronischer Komponenten (z.B. Transistoren, Dioden, Widerstände, Kondensatoren usw.) immer weiter verbessert durch kontinuierliche Verringerungen der minimalen Merkmalsgröße, was ermöglicht, dass mehr Komponenten in einen gegebenen Bereich integriert werden. Wenn jedoch die minimalen Merkmale verkleinert werden, entstehen zusätzliche Probleme, die angegangen werden sollten.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1A, 1B und 1C zeigen eine vereinfachte perspektivische Ansicht, einen Schaltplan und eine Draufsicht auf eine ferroelektrische Speichervorrichtung gemäß einigen Ausführungsformen.
    • 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15A, 15B, 16A, 16B, 17A, 17B, 18A, 18B, 19A, 198, 20A, 20B, 21A, 21B, 22, 23, 24, 25A, 25B, 26A, 26B, 27A, 27B, 28A, 28B, 29A, 29B, 30A, 30B, 30C, 30D, 30E, 30F, 30G, 30H und 30I zeigen variierende Ansichten der Herstellung einer ferroelektrischen Speichervorrichtung gemäß einigen Ausführungsformen.
    • 31 veranschaulicht ein Verfahren zum Bilden einer ferroelektrischen Speichervorrichtung gemäß einigen Ausführungsformen.
    • 32 zeigt eine vereinfachte perspektivische Ansicht einer ferroelektrischen Speichervorrichtung gemäß einigen Ausführungsformen.
    • 33 zeigt eine vereinfachte perspektivische Ansicht einer ferroelektrischen Speichervorrichtung gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Realisieren verschiedener Merkmale der Erfindung bereit. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Beispielsweise kann das Bilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei welchen das erste und das zweite Merkmal in direktem Kontakt gebildet werden, und es kann außerdem Ausführungsformen umfassen, bei welchen zwischen dem ersten und dem zweiten Merkmal weitere Merkmale gebildet werden können, so dass das erste und das zweite Merkmal nicht in direktem Kontakt stehen müssen. Außerdem können in der vorliegenden Offenbarung in den verschiedenen Beispielen Bezugszahlen und/oder -buchstaben wiederholt werden. Diese Wiederholung dient der Vereinfachung und Verdeutlichung und bestimmt allein noch keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen.
  • Ferner können hierin zur Vereinfachung der Beschreibung Begriffe der räumlichen Beziehung wie „unterhalb“, „unter“, „untere“, „oberhalb“, „obere“ und dergleichen verwendet werden, um die Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) zu beschreiben, wie in den Figuren veranschaulicht. Die Begriffe der räumlichen Beziehung sollen zusätzlich zu der Orientierung, die in den Figuren abgebildet sind, andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtungen können anders orientiert sein (um 90 Grad gedreht sein oder andere Orientierungen aufweisen) und die hierin verwendeten Deskriptoren der räumlichen Beziehung können gleichermaßen entsprechend interpretiert werden.
  • Verschiedene Ausführungsformen stellen eine Speichervorrichtung bereit, z.B. ein 3D-Speicher-Array. In einigen Ausführungsformen ist das 3D-Speicher-Array eine ferroelektrische Feldeffekttransistor-Speicherschaltung (FeFET-Speicherschaltung), welche mehrere vertikal gestapelte Speicherzellen aufweist. In einigen Ausführungsformen wird jede Speicherzelle als ein FeFET angesehen, welcher eine Wortleitungszone, die als eine Gate-Elektrode fungiert, eine Bitleitungszone, die als eine erste Source/Drain-Elektrode fungiert, und eine Source-Leitungs-Zone, die als eine zweite Source/Drain-Elektrode fungiert, ein ferroelektrisches Material als ein Gate-Dielektrikum und einen Oxid-Halbleiter (Oxide Semiconductor, OS) als eine Kanalzone aufweist. In einigen Ausführungsformen wird jede Speicherzelle als ein Transistor angesehen, beispielsweise als ein Dünnschichttransistor (Thin Film Transistor, TFT).
  • 1A, 1B und 1C zeigen Beispiele eines Speicher-Array gemäß einigen Ausführungsformen. 1A zeigt ein Beispiel eines Abschnitts einer vereinfachten ferroelektrischen Speichervorrichtung 200 in einer dreidimensionalen Teilansicht; 1B zeigt einen Schaltplan der ferroelektrischen Speichervorrichtung 200 und 1C zeigt eine Draufsicht auf die ferroelektrische Speichervorrichtung 200 gemäß einigen Ausführungsformen. Die ferroelektrische Speichervorrichtung 200 weist mehrere Speicherzellen 202 auf, welche in einem Gitter von Zeilen und Spalten angeordnet sein können. Die Speicherzellen 202 können ferner vertikal gestapelt sein, um ein dreidimensionales Speicher-Array bereitzustellen, wodurch die Vorrichtungsdichte erhöht wird. Die ferroelektrische Speichervorrichtung 200 kann im Back-End-Of-Line (BEOL) eines Halbleiter-Die angeordnet sein. Beispielsweise kann das Speicher-Array in den Interconnect-Schichten des Halbleiter-Die angeordnet sein, z.B. oberhalb einer oder mehreren aktiven Vorrichtungen (z.B. Transistoren), die auf einem Halbleitersubstrat ausgebildet sind.
  • In einigen Ausführungsformen ist die ferroelektrische Speichervorrichtung 200 ein Flash-Speicher-Array, z.B. ein NOR-Speicher-Array oder dergleichen. In einigen Ausführungsformen ist ein Gate jeder Speicherzelle 202 elektrisch mit einer entsprechenden Wortleitung (z.B. der leitfähigen Leitung 72) verbunden, eine erste Source/Drain-Zone jeder Speicherzelle 202 ist elektrisch mit einer entsprechenden Bitleitung (z.B. der leitfähigen Leitung 116B) verbunden und eine zweite Source/Drain-Zone jeder Speicherzelle 202 ist elektrisch mit einer entsprechenden Source-Leitung (z.B. der leitfähigen Leitung 116A) verbunden, welche die zweite Source/Drain-Zone elektrisch mit Masse verbindet. Die Speicherzellen 202 in einer gleichen horizontalen Zeile der ferroelektrischen Speichervorrichtung 200 können eine gemeinsame Wortleitung benutzen, während die Speicherzellen 202 in einer gleichen vertikalen Spalte der ferroelektrischen Speichervorrichtung 200 eine gemeinsame Source-Leitung und eine gemeinsame Bitleitung benutzen können.
  • Die ferroelektrische Speichervorrichtung 200 weist mehrere vertikal gestapelte leitfähige Leitungen 72 (z.B. Wortleitungen) auf, wobei zwischen benachbarten der leitfähigen Leitungen 72 Dielektrikumsschichten 52 angeordnet sind. Die leitfähigen Leitungen 72 erstrecken sich in einer Richtung parallel zu einer Hauptfläche eines darunter liegenden Substrats (in 1A und 1B nicht ausdrücklich dargestellt). Die leitfähigen Leitungen 72 können eine Treppenkonfiguration aufweisen, so dass untere leitfähige Leitungen länger sind als obere leitfähige Leitungen und sich lateral über Endpunkte dieser hinaus erstrecken. Beispielsweise sind in 1A mehrere gestapelte Schichten leitfähiger Leitungen 72 dargestellt, wobei eine oberste der leitfähigen Leitungen 72 die kürzeste ist und eine unterste der leitfähigen Leitungen 72 die längste ist. Entsprechende Längen der leitfähigen Leitungen 72 können in Richtung des darunter liegenden Substrats zunehmen. Auf diese Weise kann ein Abschnitt jeder der leitfähigen Leitungen 72 von oberhalb der ferroelektrischen Speichervorrichtung 200 zugänglich sein und es können leitfähige Kontakte hergestellt werden, um entsprechende frei liegende Abschnitte der leitfähigen Leitungen 72 zu kontaktieren.
  • Die ferroelektrische Speichervorrichtung 200 weist ferner leitfähige Säulen 106 (die z.B. mit Bitleitungen elektrisch verbunden sind) und leitfähige Säulen 108 (die z.B. elektrisch mit Source-Leitungen verbunden sind) auf, welche abwechselnd angeordnet sind. Die leitfähigen Säulen 106 und 108 können sich jeweils in einer Richtung senkrecht zu den leitfähigen Leitungen 72 erstrecken. Zwischen benachbarten der leitfähigen Säulen 106 und der leitfähigen Säulen 108 ist ein Dielektrikumsmaterial 98A/98B angeordnet und isoliert diese.
  • Paare der leitfähigen Säulen 106 und 108 definieren zusammen mit einer schneidenden leitfähigen Leitung 72 Grenzen jeder Speicherzelle 202 und zwischen benachbarten Paaren der leitfähigen Säulen 106 und 108 ist eine Isolationssäule 102 angeordnet und isoliert diese. In einigen Ausführungsformen sind die leitfähigen Säulen 108 elektrisch mit Masse verbunden. Obwohl 1A eine spezielle Anordnung der leitfähigen Säulen 106 relativ zu den leitfähigen Säulen 108 zeigt, versteht es sich, dass die Anordnung der leitfähigen Säulen 106 und 108 in anderen Ausführungsformen getauscht werden kann.
  • In einigen Ausführungsformen kann die ferroelektrische Speichervorrichtung 200 außerdem ein Oxid-Halbleitermaterial (OS-Material) als eine Kanalschicht 92 aufweisen. Die Kanalschicht 92 kann Kanalzonen für die Speicherzellen 202 bereitstellen. Wenn beispielsweise eine geeignete Spannung (z.B. höher als eine entsprechende Schwellenspannung (Vth) einer entsprechenden Speicherzelle 202) durch eine entsprechende leitfähige Leitung 72 angelegt wird, kann eine Zone der Kanalschicht 92, welche die leitfähige Leitung 72 schneidet, ermöglichen, dass Strom von den leitfähigen Säulen 106 zu den leitfähigen Säulen 108 fließt (z.B. in der Richtung, die durch den Pfeil 206 angezeigt wird).
  • In einigen Ausführungsformen ist zwischen der Kanalschicht 92 und jeder der leitfähigen Leitungen 72 und der Dielektrikumsschichten 52 eine ferroelektrische Schicht 90 angeordnet und die ferroelektrische Schicht 90 kann als ein Gate-Dielektrikum für jede Speicherzelle 202 dienen. In einigen Ausführungsformen weist die ferroelektrische Schicht 90 ein ferroelektrisches Material auf, wie z.B. Hafniumoxid, Hafniumzirkoniumoxid, mit Silizium dotiertes Hafniumoxid oder Ähnliches.
  • Die ferroelektrische Schicht 90 kann in eine von zwei verschiedenen Richtungen polarisiert sein und die Polarisationsrichtung kann geändert werden, indem eine geeignete Spannungsdifferenz über die ferroelektrische Schicht 90 angelegt wird und ein geeignetes elektrisches Feld erzeugt wird. Die Polarisation kann relativ lokalisiert sein (z.B. im Allgemeinen innerhalb der jeweiligen Grenzen der Speicherzellen 202 eingegrenzt sein) und eine kontinuierliche Zone der ferroelektrischen Schicht 90 kann sich über mehrere Speicherzellen 202 erstrecken. In Abhängigkeit von einer Polarisationsrichtung einer bestimmten Zone der ferroelektrischen Schicht 90 variiert eine Schwellenspannung einer entsprechenden Speicherzelle 202 und es kann ein digitaler Wert (z.B. 0 oder 1) gespeichert werden. Wenn beispielsweise eine Zone der ferroelektrischen Schicht 90 eine erste elektrische Polarisationsrichtung aufweist, kann die entsprechende Speicherzelle 202 eine relativ niedrige Schwellenspannung aufweisen, und wenn die Zone der ferroelektrischen Schicht 90 eine zweite elektrische Polarisationsrichtung aufweist, kann die entsprechende Speicherzelle 202 eine relativ hohe Schwellenspannung aufweisen. Die Differenz zwischen den beiden Schwellenspannungen kann als die Schwellenspannungsverschiebung bezeichnet werden. Eine größere Schwellenspannungsverschiebung macht es einfacher (z.B. weniger fehleranfällig), den digitalen Wert zu lesen, der in der entsprechenden Speicherzelle 202 gespeichert ist.
  • In einigen Ausführungsformen können aufgrund eines Hochtemperatur-Temperns oder aufgrund von thermischen Verfahren in verschiedenen Stufen der Herstellung des Speicher-Arrays die Kanalschicht (z.B. eine Oxid-Halbleiterschicht) und die ferroelektrische Schicht (z.B. eine Metalloxid-Schicht) eine unerwünschte Grenzflächenschicht (z.B. eine sauerstoffreiche Metalloxid-Schicht) aufweisen, die zwischen ihnen ausgebildet ist. In einigen Ausführungsformen kann die Grenzflächenschicht eine Fläche der ferroelektrischen Schicht, die der Kanalschicht zugewandt ist, vollständig bedecken. Die Qualität einer solchen Grenzflächenschicht kann viele Defekte aufweisen und die Leistungsfähigkeit der Vorrichtung beeinträchtigen, z.B. durch Vth-Verschiebung und geringere Haltbarkeit. Der Sauerstoff in der Grenzflächenschicht kann durch eine Sauerstofffängerschicht 88 absorbiert werden, die zwischen der ferroelektrischen Schicht 90 (z.B. der Metalloxid-Schicht) und jeder leitfähigen Leitung 72 (z.B. Metallschicht) angeordnet ist. Die Sauerstofffängerschicht 88 kann den Sauerstoff in der Grenzflächenschicht durch einen „direkten Fangmechanismus“ oder einen „Fern-Fangmechanismus“ absorbieren, welche nachstehend noch detailliert beschrieben werden, siehe 30C und 30D. Entsprechend kann eine Grenzflächenschicht-freie Speichervorrichtung erreicht werden und die Qualität des Oxid-Halbleiterflächen-Kanals kann verbessert werden.
  • In einigen Ausführungsformen kann die Sauerstofffängerschicht 88 Seitenwände der leitfähigen Leitungen 72 bedecken. In einigen solchen Ausführungsformen kann die Sauerstofffängerschicht 88 diskrete Segmente aufweisen, welche durch die Dielektrikumsschichten 52 vertikal voneinander getrennt sind. In einigen Ausführungsformen sind die diskreten Segmente entlang gegenüberliegenden Seitenwänden entsprechender der leitfähigen Leitungen 72 angeordnet. In einigen Ausführungsformen kann sich die ferroelektrische Schicht 90 kontinuierlich und vertikal über obere und untere Flächen eines oder mehrerer der diskreten Segmente der Sauerstofffängerschicht 88 hinaus erstrecken, während sich die diskreten Segmente der Sauerstofffängerschicht 88 lateral über gegenüberliegende Seiten einer oder mehrerer der leitfähigen Säulen 106 und 108 hinaus erstrecken können.
  • Um in solchen Ausführungsformen eine Schreiboperation an einer Speicherzelle 202 durchzuführen, wird eine Schreibspannung über einen Teil der ferroelektrischen Schicht 90 angelegt, welcher der Speicherzelle 202 entspricht. In einigen Ausführungsformen wird die Schreibspannung beispielsweise angelegt, indem geeignete Spannungen an eine entsprechende leitfähige Leitung 72 (z.B. die Wortleitung) und die entsprechenden leitfähigen Säulen 106/108 (z.B. die Bitleitung/Source-Leitung) angelegt werden. In solchen Ausführungsformen ist die leitfähige Leitung 72 dafür konfiguriert, als eine Gate-Elektroden-Schicht zu fungieren. Durch Anlegen der Schreibspannung über den Teil der ferroelektrischen Schicht 90 kann eine Polarisationsrichtung der Zone der ferroelektrischen Schicht 90 geändert werden. Als ein Ergebnis kann die entsprechende Schwellenspannung der entsprechenden Speicherzelle 202 ebenfalls von einer niedrigen Schwellenspannung auf eine hohe Schwellenspannung umgeschaltet werden oder umgekehrt und es kann ein digitaler Wert in der Speicherzelle 202 gespeichert werden. Da die leitfähigen Leitungen 72 die leitfähigen Säulen 106 und 108 schneiden, können einzelne Speicherzellen 202 für die Schreiboperation ausgewählt werden.
  • Um in solchen Ausführungsformen eine Leseoperation an der Speicherzelle 202 durchzuführen, wird eine Lesespannung (eine Spannung zwischen der niedrigen und der hohen Schwellenspannung) an die entsprechende leitfähige Leitung 72 (z.B. die Wortleitung) angelegt. In Abhängigkeit von der Polarisationsrichtung der entsprechenden Zone der ferroelektrischen Schicht 90 kann die Speicherzelle 202 eingeschaltet sein oder nicht. Als ein Ergebnis kann die leitfähige Säule 106 durch die leitfähige Säule 108 (z.B. eine Source-Leitung, die mit Masse verbunden ist) hindurch entladen werden oder nicht und der in der Speicherzelle 202 gespeicherte digitale Wert kann bestimmt werden. Da die leitfähigen Leitungen 72 die leitfähigen Säulen 106 und 108 schneiden, können einzelne Speicherzellen 202 für die Leseoperation ausgewählt werden.
  • 1A zeigt ferner Referenz-Querschnitte der ferroelektrischen Speicherzelle 202, die in folgenden Figuren verwendet werden. Der Querschnitt B-B' verläuft entlang einer Längsachse der leitfähigen Leitungen 72 und beispielsweise in einer Richtung parallel zu der Richtung des Stromflusses der Speicherzellen 202. Der Querschnitt C-C' verläuft senkrecht zum Querschnitt B-B' und erstreckt sich durch die Dielektrikumsmaterialien 98A/98B und die Isolationssäulen 102. Der Querschnitt D-D' verläuft senkrecht zum Querschnitt B-B' und erstreckt sich durch die Dielektrikumsmaterialien 98A/98B und die leitfähigen Säulen 106. In folgenden Figuren wird auf zur Verdeutlichung diese Referenz-Querschnitte Bezug genommen.
  • In 2 wird ein Substrat 50 bereitgestellt. Das Substrat 50 kann ein Halbleitersubstrat sein, z.B. ein massiver Halbleiter, ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat) oder Ähnliches, welches (z.B. mit einem p- oder n-Dotierstoff) dotiert oder undotiert sein kann. Das Substrat kann ein IC-Die sein, z.B. ein Logik-Die, ein Speicher-Die, ein ASIC-Die oder Ähnliches. Das Substrat 50 kann ein Komplementär-Metall-Oxid-Halbleiter-Die (CMOS-Die) sein und kann als ein CMOS unter Array (CUA) bezeichnet werden. Das Substrat 50 kann ein Wafer sein, z.B. ein Silizium-Wafer. Im Allgemeinen ist ein SOI-Substrat eine Schicht eines Halbleitermaterials, die auf einer Isolatorschicht ausgebildet ist. Die Isolatorschicht kann zum Beispiel eine vergrabene Oxidschicht (BOX-Schicht), eine Siliziumoxid-Schicht oder Ähnliches sein. Die Isolatorschicht wird auf einem Substrat bereitgestellt, typischerweise auf einem Silizium- oder Glassubstrat. Andere Substrate, z.B. ein mehrschichtiges Substrat oder ein Gradientensubstrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Silizium; Germanium; einen Verbindungshalbleiter wie Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid, und/oder Indiumantimonid; einen Legierungshalbleiter wie Siliziumgermanium, Galliumarsenidphosphid, Aluminiumindiumarsenid, Aluminiumgalliumarsenid, Galliumindiumarsenid, Galliumindiumphosphid und/oder Galliumindiumarsenidphosphid oder Kombinationen davon umfassen.
  • 2 zeigt ferner Schaltungen, die über dem Substrat 50 ausgebildet sein können. Die Schaltungen weisen Transistoren an einer oberen Fläche des Substrats 50 auf. Die Transistoren können Gate-Dielektrikums-Schichten 302 über oberen Flächen des Substrats 50 und Gate-Elektroden 304 über den Gate-Dielektrikums-Schichten 302 aufweisen. Source/Drain-Zonen 306 sind auf gegenüberliegenden Seiten der Gate-Dielektrikums-Schichten 302 und der Gate-Elektroden 304 in dem Substrat 50 angeordnet. Gate-Abstandhalter 308 sind entlang Seitenwänden der Gate-Dielektrikums-Schichten 302 ausgebildet und trennen die Source/Drain-Zonen 306 in geeigneten lateralen Abständen von den Gate-Elektroden 304. Die Transistoren können Finnen-Feldeffekttransistoren (FinFETs), Nanostruktur-FETs (z.B. Nanoblatt-, Nanodraht-, Gate-all-around-FETs oder Ähnliches) (Nano-FETs), planare FETs, Ähnliches oder Kombinationen davon umfassen und können durch Gate-first-Verfahren oder Gate-last-Verfahren gebildet werden.
  • Ein erstes Zwischenschichtdielektrikum (Inter-Layer Dielectric, ILD) 310 umgibt und isoliert die Source/Drain-Zonen 306, die Gate-Dielektrikums-Schichten 302 und die Gate-Elektroden 304 und ein zweites ILD 312 befindet sich über dem ersten ILD 310. Source/Drain-Kontakte 314 erstrecken sich durch das zweite ILD 312 und das erste ILD 310 und sind mit den Source/Drain-Zonen 306 elektrisch verbunden. Gate-Kontakte 316 erstrecken sich durch das zweite ILD 312 und sind mit den Gate-Elektroden 304 elektrisch verbunden. Eine Interconnect-Struktur 320 befindet sich über dem zweiten ILD 312, den Source/Drain-Kontakten 314 und den Gate-Kontakten 316. Die Interconnect-Struktur 320 weist beispielsweise eine oder mehrere gestapelte Dielektrikumsschichten 324 und leitfähige Merkmale 322 auf, die in der einen oder den mehreren Dielektrikumsschichten 324 ausgebildet sind. Die Interconnect-Struktur 320 kann mit den Gate-Kontakten 316 und den Source/Drain-Kontakten 314 elektrisch verbunden sein, um funktionelle Schaltungen zu bilden. In einigen Ausführungsformen können die durch die Interconnect-Struktur 320 gebildeten funktionellen Schaltungen Logikschaltungen, Speicherschaltungen, Leseverstärker, Steuerungen, Eingabe/Ausgabe-Schaltungen, Bildsensorschaltungen, Ähnliches oder Kombinationen davon umfassen. Obwohl in 2 Transistoren dargestellt sind, die über dem Substrat 50 ausgebildet sind, können andere auch aktive Vorrichtungen (z.B. Dioden oder Ähnliches) und/oder passive Vorrichtungen (z.B. Kondensatoren, Widerstände oder Ähnliches) als Teil der funktionellen Schaltungen ausgebildet sein.
  • In 3 wird über der Struktur der 2 ein mehrschichtiger Stapel 58 gebildet. Das Substrat 50, die Transistoren, die ILDs und die Interconnect-Struktur 320 können zur Vereinfachung und zugunsten einer klaren Darstellung in anschließenden Zeichnungen weggelassen sein. Obwohl der mehrschichtige Stapel 58 so dargestellt ist, dass er mit den Dielektrikumsschichten 324 der Interconnect-Struktur 320 in Kontakt steht, kann zwischen dem Substrat 50 und dem mehrschichtigen Stapel 58 eine beliebige Anzahl an Zwischenschichten angeordnet sein. Beispielsweise können zwischen dem Substrat 50 und dem mehrschichtigen Stapel 58 eine oder mehrere Interconnect-Schichten angeordnet sein, welche leitfähige Merkmale in isolierenden Schichten (z.B. Low-k-Dielektrikumsschichten) aufweisen. In einigen Ausführungsformen können die leitfähigen Merkmale strukturiert sein, um Stromversorgungs-, Masse- und/oder Signalleitungen für die aktiven Vorrichtungen auf dem Substrat 50 und/oder der ferroelektrischen Speichervorrichtung 200 bereitzustellen (siehe 1A und 1B). In einigen Ausführungsformen können über dem mehrschichtigen Stapel 58 eine oder mehrere Interconnect-Schichten angeordnet sein, welche leitfähige Merkmale in isolierenden Schichten (z.B. Low-k-Dielektrikumsschichten) aufweisen.
  • In 3 weist der mehrschichtige Stapel 58 abwechselnde Schichten von Opferschichten 53A bis 53D (zusammenfassend als Opferschichten 53 bezeichnet) und Dielektrikumsschichten 52A bis 52E (zusammenfassend als Dielektrikumsschichten 52 bezeichnet) auf. Die Opferschichten 53 können in anschließenden Stufen strukturiert und ersetzt werden, um leitfähige Leitungen 72 (z.B. die Wortleitungen) zu definieren. Die Opferschichten 53 können Dielektrikumsmaterialien aufweisen, wie z.B. Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Kombinationen davon oder Ähnliches. Die Dielektrikumsschichten 52 können isolierende Materialien aufweisen, wie z.B. Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Kombinationen davon oder Ähnliches. Die Opferschichten 53 und die Dielektrikumsschichten 52 weisen unterschiedliche Materialien mit unterschiedlichen Ätzselektivitäten auf. In einigen Ausführungsformen weisen die Opferschichten 53 Siliziumnitrid auf und die Dielektrikumsschichten 52 weisen Siliziumoxid auf. Jede der Opferschichten 53 und der Dielektrikumsschichten 52 kann beispielsweise durch chemische Abscheidung aus der Gasphase (Chemical Vapor Deposition, CVD), Atomschichtabscheidung (Atomic Layer Deposition, ALD), physikalische Abscheidung aus der Gasphase (Physical Vapor Deposition, PVD), plasmaunterstützte CVD (Plasma Enhanced CVD, PECVD) oder Ähnliches gebildet werden.
  • Obwohl 3 eine bestimmte Anzahl der Opferschichten 53 und der Dielektrikumsschichten 52 zeigt, können andere Ausführungsformen andere Anzahlen der Opferschichten 53 und der Dielektrikumsschichten 52 aufweisen. Außerdem ist, obwohl der mehrschichtige Stapel 58 so dargestellt ist, dass er Dielektrikumsschichten als oberste und unterste Schichten aufweist, die Offenbarung nicht darauf beschränkt. In einigen Ausführungsformen ist mindestens eine Schicht aus der obersten und der untersten Schicht des mehrschichtigen Stapels 58 eine Opferschicht.
  • 4 bis 12 sind Ansichten von Zwischenstufen bei der Herstellung einer Treppenstruktur der ferroelektrischen Speichervorrichtung 200 gemäß einigen Ausführungsformen. 4 bis 12 sind entlang dem Referenz-Querschnitt B-B' dargestellt, der in 1A dargestellt ist.
  • In 4 wird über dem mehrschichtigen Stapel 58 ein Photoresist 56 gebildet. In einigen Ausführungsformen wird der Photoresist 56 durch eine Technik des Aufschleuderns gebildet und durch eine akzeptable Photolithographie-Technik strukturiert. Durch das Strukturieren des Photoresists 56 kann der mehrschichtige Stapel 58 in Zonen 60 freigelegt werden, während restliche Abschnitte des mehrschichtigen Stapels 58 maskiert werden. Beispielsweise kann in den Zonen 60 eine oberste Schicht des mehrschichtigen Stapels 58 (z.B. die Dielektrikumsschicht 52E) freigelegt werden.
  • In 5 werden die frei liegenden Abschnitte des mehrschichtigen Stapels 58 unter Verwendung des Photoresists 56 als Maske in den Zonen 60 geätzt. Bei dem Ätzen kann es sich um ein beliebiges akzeptables Ätzverfahren handeln, z.B. ein Trockenätzen (z.B. ein reaktives Ionenätzen (Reactive Ion Etching, RIE), ein Neutralstrahlätzen (Neutral Beam Etching, NBE), Ähnliches), ein Nassätzen, Ähnliches oder eine Kombination davon. Das Ätzen kann anisotrop sein. Durch das Ätzen können Abschnitte der Dielektrikumsschicht 52E und der Opferschicht 53D in den Zonen 60 entfernt werden und Öffnungen 61 definiert werden. Da die Dielektrikumsschicht 52E und die Opferschicht 53D unterschiedliche Materialzusammensetzungen aufweisen, können Ätzmittel, die verwendet werden, um frei liegende Abschnitte dieser Schichten zu entfernen, unterschiedlich sein. In einigen Ausführungsformen fungiert die Opferschicht 53D als eine Ätzstoppschicht beim Ätzen der Dielektrikumsschicht 52E und die Dielektrikumsschicht 52D fungiert als eine Ätzstoppschicht beim Ätzen der Opferschicht 53D. Als ein Ergebnis können die Abschnitte der Dielektrikumsschicht 52E und der Opferschicht 53D selektiv entfernt werden, ohne restliche Schichten des mehrschichtigen Stapels 58 zu entfernen, und die Öffnungen 61 können bis zu einer gewünschten Tiefe ausgedehnt werden. Alternativ kann ein zeitlich gesteuertes Ätzverfahren angewendet werden, um das Ätzen der Öffnungen 61 zu stoppen, nachdem die Öffnungen 61 eine gewünschte Tiefe erreicht haben. In der resultierenden Struktur liegt die Dielektrikumsschicht 52D in den Zonen 60 frei.
  • In 6 wird der Photoresist 56 getrimmt, um weitere Abschnitte des mehrschichtigen Stapels 58 freizulegen. In einigen Ausführungsformen wird der Photoresist 56 durch eine akzeptable Technik des Entfernens getrimmt, z.B. durch laterales Ätzen. Als ein Ergebnis des Trimmens ist eine Breite des Photoresists 56 verringert und Abschnitte des mehrschichtigen Stapels 58 in den Zonen 60 und den Zonen 62 können freigelegt sein. Beispielsweise können in den Zonen 60 obere Flächen der Dielektrikumsschicht 52D freigelegt sein und in den Zonen 62 können obere Flächen der Dielektrikumsschicht 52E freigelegt sein.
  • In 7 werden Abschnitte der Dielektrikumsschicht 52E, der Opferschicht 53D, der Dielektrikumsschicht 52D und der Opferschicht 53C in den Zonen 60 und den Zonen 62 durch akzeptable Ätzverfahren unter Verwendung des Photoresists 56 als Maske entfernt. Bei dem Ätzen kann es sich um ein beliebiges akzeptables Ätzverfahren handeln, wie z.B. Trockenätzen (z.B. RIE, NBE Ähnliches), Nassätzen, Ähnliches oder eine Kombination davon. Das Ätzen kann anisotrop sein. Durch das Ätzen können die Öffnungen 61 weiter in den mehrschichtigen Stapel 58 ausgedehnt werden. Da die Opferschichten 53D und 53C und die Dielektrikumsschichten 52E und 52D unterschiedliche Materialzusammensetzungen aufweisen, können Ätzmittel, die verwendet werden, um frei liegende Abschnitte dieser Schichten zu entfernen, unterschiedlich sein. In einigen Ausführungsformen werden Abschnitte der Dielektrikumsschichten 52E und 52D in den Zonen 62 und 60 unter Verwendung des Photoresists 56 als Maske und unter Verwendung der darunter liegenden Opferschichten 53D und 53C als Ätzstoppschichten entfernt. Anschließend werden die frei liegenden Abschnitte der Opferschichten 53D und 53C in den Zonen 62 und 60 unter Verwendung des Photoresists 56 als Maske und unter Verwendung der darunter liegenden Dielektrikumsschichten 52D und 52C als Ätzstoppschichten entfernt. In der resultierenden Struktur liegt in den Zonen 60 die Dielektrikumsschicht 52C frei und in den Zonen 62 liegt die Dielektrikumsschicht 52D frei.
  • In 8 wird der Photoresist 56 getrimmt, um weitere Abschnitte des mehrschichtigen Stapels 58 freizulegen. In einigen Ausführungsformen wird der Photoresist 56 durch eine Akzeptable Technik des Entfernens getrimmt, wie z.B. laterales Ätzen. Als ein Ergebnis des Trimmens ist eine Breite des Photoresists 56 verringert und Abschnitte des mehrschichtigen Stapels 58 in den Zonen 60, in den Zonen 62 und in Zonen 64 können freigelegt sein. Beispielsweise können in den Zonen 60 obere Flächen der Dielektrikumsschicht 52C freigelegt sein; in den Zonen 62 können obere Flächen der Dielektrikumsschicht 52D freigelegt sein und in den Zonen 64 können obere Flächen der Dielektrikumsschicht 52E freigelegt sein.
  • In 9 werden Abschnitte der Dielektrikumsschichten 52E, 52D und 52C und der Opferschichten 53D, 53C und 53B in den Zonen 60, in den Zonen 62 und in den Zonen 64 durch akzeptable Ätzverfahren entfernt, wobei der Photoresist 56 als Maske verwendet wird. Bei dem Ätzen kann es sich um ein beliebiges geeignetes Ätzverfahren handeln, wie z.B. Trockenätzen (z.B. RIE, NBE Ähnliches), Nassätzen, Ähnliches oder eine Kombination davon. Das Ätzen kann anisotrop sein. Durch das Ätzen können die Öffnungen 61 weiter in den mehrschichtigen Stapel 58 ausgedehnt werden. Da die Dielektrikumsschichten 52C bis 52E und die Opferschichten 53B bis 53D unterschiedliche Materialzusammensetzungen aufweisen, können Ätzmittel, die verwendet werden, um frei liegende Abschnitte dieser Schichten zu entfernen, unterschiedlich sein. In einigen Ausführungsformen werden Abschnitte der Dielektrikumsschichten 52E, 52D und 52C in den Zonen 64, 62 und 60 unter Verwendung des Photoresists 56 als Maske und unter Verwendung der darunter liegenden Opferschichten 53D, 53C und 53B als Ätzstoppschichten entfernt. Anschließend werden die frei liegenden Abschnitte der Opferschichten 53D, 53C und 53B in den Zonen 64, 62 und 60 unter Verwendung des Photoresists 56 als Maske und unter Verwendung der darunter liegenden Dielektrikumsschichten 52D, 52C und 52B als Ätzstoppschichten entfernt. In der resultierenden Struktur liegt in den Zonen 60 die Dielektrikumsschicht 52B frei, in den Zonen 62 liegt die Dielektrikumsschicht 52C frei und in den Zonen 64 liegt die Dielektrikumsschicht 52D frei.
  • In 10 wird der Photoresist 56 getrimmt, um weitere Abschnitte des mehrschichtigen Stapels 58 freizulegen. In einigen Ausführungsformen wird der Photoresist 56 durch eine Akzeptable Technik des Entfernens getrimmt, wie z.B. laterales Ätzen. Als ein Ergebnis des Trimmens ist eine Breite des Photoresists 56 verringert und Abschnitte des mehrschichtigen Stapels 58 in den Zonen 60, in den Zonen 62, in den Zonen 64 und in Zonen 66 können freigelegt sein. Beispielsweise können in den Zonen 60 obere Flächen der Dielektrikumsschicht 52B freigelegt sein; in den Zonen 62 können obere Flächen der Dielektrikumsschicht 52C freigelegt sein; in den Zonen 64 können obere Flächen der Dielektrikumsschicht 52D freigelegt sein und in den Zonen 66 können obere Flächen der Dielektrikumsschicht 52E freigelegt sein.
  • In 11 werden Abschnitte der Dielektrikumsschichten 52E, 52D, 52C und 52B in den Zonen 60, in den Zonen 62, in den Zonen 64 und in den Zonen 66 durch akzeptable Ätzverfahren entfernt, wobei der Photoresist 56 als Maske verwendet wird. Bei dem Ätzen kann es sich um ein beliebiges geeignetes Ätzverfahren handeln, wie z.B. Trockenätzen (z.B. RIE, NBE Ähnliches), Nassätzen, Ähnliches oder eine Kombination davon. Das Ätzen kann anisotrop sein. Durch das Ätzen können die Öffnungen 61 weiter in den mehrschichtigen Stapel 58 ausgedehnt werden. In einigen Ausführungsformen werden Abschnitte der Dielektrikumsschichten 52E, 52D, 52C und 52B in den Zonen 66, 64, 62 und 60 unter Verwendung des Photoresists 56 als Maske und unter Verwendung der darunter liegenden Opferschichten 53D, 53C, 53B und 53A als Ätzstoppschichten entfernt. In der resultierenden Struktur liegt in den Zonen 60 die Opferschicht 53A frei, in den Zonen 62 liegt die Opferschicht 53B frei; in den Zonen 64 liegt die Opferschicht 53C frei und in den Zonen 66 liegt die Opferschicht 53D frei. Anschließend kann der Photoresist 56 durch ein geeignetes Veraschungsverfahren oder Nassabzugsverfahren entfernt werden.
  • In 12 wird über dem mehrschichtigen Stapel 58 ein Zwischenmetalldielektrikum (Inter-Metal Dielectric, IMD) 70 aufgebracht. Das IMD 70 kann aus einem Dielektrikumsmaterial gebildet werden und kann durch ein beliebiges geeignetes Verfahren wie CVD, PECVD, Flowable-CVD (FCVD) oder Ähnliches aufgebracht werden. Die Dielektrikumsmaterialien können Phosphorsilikatglas (PSG), Borosilikatglas (BSG), mit Bor dotiertes Phosphorsilikatglas (BPSG), undotiertes Silikatglas (USG) oder Ähnliches umfassen. In einigen Ausführungsformen kann das IMD 70 ein Oxid (z.B. Siliziumoxid oder Ähnliches), ein Nitrid (z.B. Siliziumnitrid oder Ähnliches), eine Kombination davon oder Ähnliches sein. Andere Dielektrikumsmaterialien, die durch ein beliebiges akzeptables Verfahren gebildet werden, können ebenfalls verwendet werden. Das IMD 70 erstreckt sich entlang Seitenwänden der Opferschichten 53B bis 53D und Seitenwänden der Dielektrikumsschichten 52B bis 52E. Ferner kann das IMD 70 mit oberen Flächen der Opferschichten 53A bis 53D und der Dielektrikumsschicht 52E in Kontakt stehen.
  • Anschließend wird ein Entfernungsverfahren auf die IMD 70 angewendet, um überschüssiges Dielektrikumsmaterial über dem mehrschichtigen Stapel 58 zu entfernen. In einigen Ausführungsformen kann das Entfernungsverfahren ein Planarisierungsverfahren sein, wie z.B. chemisch-mechanisches Polieren (CMP), ein Rückätzverfahren, Kombinationen davon oder Ähnliches. Durch das Planarisierungsverfahren wird der mehrschichtige Stapel 58 freigelegt, so dass obere Flächen des mehrschichtigen Stapels 58 und des IMD 70 auf gleicher Höhe liegen, nachdem das Planarisierungsverfahren abgeschlossen ist.
  • Wie in 12 dargestellt, wird so eine intermediäre und massive Treppenstruktur gebildet. Die intermediäre Treppenstruktur weist abwechselnde Schichten von Opferschichten 53 und Dielektrikumsschichten 52 auf. Die Opferschichten 53 werden anschließend durch leitfähige Leitungen 72 ersetzt, welche detailliert in 16A und 16B beschrieben werden. Weiter unten befindliche leitfähige Leitungen 72 sind länger und erstrecken sich lateral über weiter oben befindliche leitfähige Leitungen 72 hinaus und eine Breite jeder der leitfähigen Leitungen 72 nimmt in Richtung des Substrats 50 zu (siehe 1A).
  • 13 bis 16B sind Ansichten von Zwischenstufen bei der Herstellung einer Speicherzone der ferroelektrischen Speichervorrichtung 200 gemäß einigen Ausführungsformen. In 13 bis 16B wird der massive mehrschichtige Stapel 58 strukturiert, um Gräben 86 durch ihn hindurch zu bilden, und Opferschichten 53 werden durch leitfähige Materialien ersetzt, um die leitfähigen Leitungen 72 zu definieren. Die leitfähigen Leitungen 72 können Wortleitungen in der ferroelektrischen Speichervorrichtung 200 entsprechen und die leitfähigen Leitungen 72 können ferner Gate-Elektroden für die resultierenden Speicherzellen der ferroelektrischen Speichervorrichtung 200 bereitstellen. 13, 14, 15B und 16B sind entlang dem Referenz-Querschnitt C-C' dargestellt, der in 1A dargestellt ist. 15A und 15B sind in einer dreidimensionalen Teilansicht dargestellt.
  • In 13 werden über dem mehrschichtigen Stapel 58 Photoresist-Strukturen 82 und darunter liegende Hartmaskenstrukturen 80 gebildet. In einigen Ausführungsformen werden über dem mehrschichtigen Stapel 58 nacheinander eine Hartmaskenschicht und eine Photoresist-Schicht gebildet. Die Hartmaskenschicht kann beispielsweise Siliziumnitrid, Siliziumoxynitrid oder Ähnliches aufweisen, welches durch CVD, PVD, ALD, PECVD oder Ähnliches aufgebracht werden kann. Die Photoresist-Schicht wird beispielsweise durch eine Technik des Aufschleuderns gebildet.
  • Anschließend wird die Photoresist-Schicht strukturiert, um Photoresist-Strukturen 82 und Gräben 86 zwischen den Photoresist-Strukturen 82 zu bilden. Der Photoresist wird zum Beispiel durch eine akzeptable Photolithographie-Technik gebildet. Die Strukturen der Photoresist-Strukturen 82 werden dann durch ein akzeptables Ätzverfahren, z.B. Trockenätzen (z.B. RIE, NBE, Ähnliches), Nassätzen, Ähnliches oder eine Kombination davon, auf die Hartmaskenschicht übertragen, um Hartmaskenstrukturen 80 zu bilden. Das Ätzen kann anisotrop sein. So werden Gräben 86 gebildet, welche sich durch die Hartmaskenschicht erstrecken. Anschließend können die Photoresist-Strukturen 82 gegebenenfalls beispielsweise durch ein Veraschungsverfahren entfernt werden.
  • In 14 bis 15B werden die Strukturen der Hartmaskenstrukturen 80 durch ein oder mehrere akzeptable Ätzverfahren, z.B. Trockenätzen (z.B. RIE, NBE, Ähnliches), Nassätzen, Ähnliches oder eine Kombination davon, auf den mehrschichtigen Stapel 58 übertragen. Die Ätzverfahren können anisotrop sein. Somit erstrecken sich die Gräben 86 durch den massiven mehrschichtigen Stapel 58 und dementsprechend werden streifenförmige Opferschichten 53 und streifenförmige Dielektrikumsschichten 52 definiert. In einigen Ausführungsformen erstrecken sich die Gräben 86 durch die massive Treppenstruktur und dementsprechend werden streifenförmige Treppenstrukturen definiert. Die Hartmaskenstrukturen 80 können dann durch ein akzeptables Verfahren entfernt werden, z.B. durch ein Nassätzverfahren, ein Trockenätzverfahren, ein Planarisierungsverfahren, Kombinationen davon oder Ähnliches.
  • In 15 bis 16B werden die Opferschichten 53A bis 53D (zusammenfassend als Opferschichten 53 bezeichnet) durch leitfähige Leitungen 72A bis 72D (zusammenfassend als leitfähige Leitungen 72 bezeichnet) ersetzt. In einigen Ausführungsformen werden die Opferschichten 53 durch ein akzeptables Verfahren entfernt, z.B. durch ein Nassätzverfahren, ein Trockenätzverfahren oder beides. Anschließend werden in den Raum zwischen benachbarten Dielektrikumsschichten 52 leitfähige Leitungen 72 gefüllt. In einigen Ausführungsformen werden alle der leitfähigen Leitungen 72 aus einem einzigen Material hergestellt. Beispielsweise weisen die leitfähigen Leitungen 72 Metallnitrid auf, wie z.B. Titannitrid (TiN), Tantalnitrid (TaN), Molybdännitrid, Zirkoniumnitrid, Hafniumnitrid oder Ähnliches. In anderen Ausführungsformen weisen alle der leitfähigen Leitungen 72 unterschiedliche Materialien auf. Beispielsweise weist jede leitfähige Leitung 72 zwei Auskleidungen (z.B. Ti, TiN, Ta, TaN usw.) und eine Metallschicht (z.B. W, Ru, Co, Cu, Al, Ni, Au, Ag) zwischen den Auskleidungen auf und eine der Auskleidungen befindet sich zwischen der Metallschicht und der benachbarten Dielektrikumsschicht. In einigen Ausführungsformen wird in den horizontalen Öffnungen zwischen benachbarten Dielektrikumsschichten 52 an den Seitenwänden des mehrschichtigen Stapels 58 ein leitfähiges Material gebildet und füllt die Gräben 86 aus. Das leitfähige Material kann durch ein akzeptables Abscheidungsverfahren wie CVD, PVD, ALD, PECVD oder Ähnliches gebildet werden. Anschließend wird das leitfähige Material in den Gräben 86 durch ein Rückätzverfahren entfernt. Ein akzeptables Rückätzverfahren kann durchgeführt werden, um das überschüssige Material von den Seitenwänden der Dielektrikumsschichten 52 und den unteren Flächen der Gräben 86 zu entfernen. Das akzeptable Rückätzverfahren umfasst Trockenätzen (z.B. RIE, NBE, Ähnliches), Nassätzen, Ähnliches oder eine Kombination davon. Das akzeptable Rückätzverfahren kann anisotrop sein.
  • In einigen Ausführungsformen werden nach dem Ersetzungsverfahren anschließend die Opferschichten 53 der streifenförmigen Treppenstrukturen durch leitfähige Leitungen 72 ersetzt (siehe 1A).
  • 17A bis 19B zeigen das Bilden von Sauerstofffängerschichten 88 an Seitenwänden der leitfähigen Schichten 72 des mehrschichtigen Stapels 58. 17A, 18A und 19A sind in einer dreidimensionalen Teilansicht dargestellt. In 17B, 18B und 19B sind Querschnittsansichten entlang der Linie C-C' der 1A dargestellt.
  • In 17A und 17B werden die leitfähigen Schichten 72 des mehrschichtigen Stapels 58 ausgespart, so dass zwischen den zwei benachbarten Dielektrikumsschichten 52 eine Aussparung 87 gebildet wird. Die Aussparungen 87 sind mit dem entsprechenden Graben 86 verbunden (stehen z.B. in räumlicher Kommunikation damit). Speziell werden Enden der leitfähigen Leitungen 72 um etwa 1 nm bis 10 nm in Bezug auf Enden der Dielektrikumsschichten 52 ausgespart, die durch den Graben 86 freigelegt sind. In einigen Ausführungsformen werden die leitfähigen Leitungen 72 des mehrschichtigen Stapels 58 durch eine akzeptable Technik des Entfernens getrimmt, z.B. durch laterales Ätzen. Das Ätzen kann Trockenätzen (z.B. RIE, NBE, Ähnliches), Nassätzen, Ähnliches oder eine Kombination davon umfassen. Das Ätzen kann anisotrop sein. In einigen Ausführungsformen umfasst das Aussparungsverfahren ein Ätzgas, welches CF4, O2, Ar oder eine Kombination davon enthält, einen Gasstrom von etwa 100 sccm bis 1.000 sccm, eine Leistung von 50 W bis 500 W und einen Kammerdruck von etwa 0,1 Torr bis 700 Torr. Nach dem Aussparungsverfahren weist der mehrschichtige Stapel 58 eine gekrümmte Seitenwand auf. Speziell stehen die Enden der Dielektrikumsschichten 52 von den Enden der verbleibenden leitfähigen Leitungen 72 hervor.
  • In 18A und 18B wird innerhalb jeder der Aussparungen 87 eine Sauerstofffängerschicht 88 gebildet. Hierin ist die Sauerstofffängerschicht der Offenbarung so konfiguriert, dass sie verhindert, dass zwischen der Oxid-Halbleiter-Kanalschicht und der ferroelektrischen Schicht die unerwünschte Grenzflächenschicht erzeugt wird. Die Sauerstofffängerschicht der Offenbarung wird in einigen Beispielen auch als eine „Sauerstoff-Getterungs-Schicht“, eine „Sauerstofffallenschicht oder eine „Sauerstoffabsorptionsschicht“ bezeichnet. Die Sauerstofffängerschicht 88 kann den Sauerstoff aus der unerwünschten Grenzflächenschicht absorbieren und somit unerwünschten Sauerstoff in der unerwünschten Grenzflächenschicht durch einen „direkten Fangmechanismus“ oder einen „Fern-Fangmechanismus“ entschärfen, welche nachstehend noch detailliert beschrieben werden, siehe 30C und 30D. In einigen Ausführungsformen weist die Sauerstofffängerschicht 88 bei dem direkten Fangmechanismus Hf, La, Al oder eine Kombination davon auf. In anderen Ausführungsformen weist die Sauerstofffängerschicht 88 bei dem Fern-Fangmechanismus TiSiN (TSN), TiAl, Ti oder eine Kombination davon auf.
  • In einigen Ausführungsformen wird die Sauerstofffängerschicht 88 formangepasst und kontinuierlich oben auf dem mehrschichtigen Stapel 58 und an der Seitenwand desselben gebildet. Speziell wird die Sauerstofffängerschicht 88 entlang Seitenwänden der leitfähigen Leitungen 72 und der Dielektrikumsschichten 52 formangepasst in den Gräben 86 aufgebracht und füllt entlang oberen Flächen der Dielektrikumsschicht 52E und entlang den unteren Flächen der Gräben 86 die Aussparungen 87 aus. In einigen Ausführungsformen umfasst das Verfahren zum Bilden der Sauerstofffängerschicht 88 das Durchführen einer geeigneten Abscheidungstechnik, wie z.B. CVD, PVD, ALD, PECVD oder Ähnliches.
  • In einigen Ausführungsformen weist die Sauerstofffängerschicht 88 eine Dicke von etwa 1 nm bis 10 nm auf. Andere Bereiche für die Dicke (z.B. mehr als 10 nm) sind ebenfalls anwendbar. In einigen Ausführungsformen ist die Sauerstofffängerschicht 88 eine Einzelschicht. In alternativen Ausführungsformen weist die Sauerstofffängerschicht 88 eine mehrschichtige Struktur auf. Beispielsweise weist die Sauerstofffängerschicht 88 eine innere Sauerstofffängerschicht (z.B. Hf, La, Al) in Kontakt mit der entsprechenden leitfähigen Leitung 72 und eine äußere Sauerstofffängerschicht (z.B. TiSiN, TiAl, Ti) außerhalb der inneren Sauerstofffängerschicht auf. Die mehrschichtige Struktur kann das Einfangen von Sauerstoff über verschiedene Wege (z.B. durch direktes Fangen von Sauerstoff in der Sauerstofffängerschicht 88 und durch indirektes Fangen in einer benachbarten Schicht) ermöglichen und dadurch den Sauerstofffang steigern.
  • In 19A und 19B wird ein Rückätzverfahren an der kontinuierlichen Sauerstofffängerschicht 88 durchgeführt. Ein akzeptables Rückätzverfahren kann durchgeführt werden, um das überschüssige Material von den Seitenwänden der Dielektrikumsschichten 52 und den unteren Flächen der Gräben 86 zu entfernen. Das akzeptable Rückätzverfahren umfasst Trockenätzen (z.B. RIE, NBE, Ähnliches), Nassätzen, Ähnliches oder eine Kombination davon. Das Ätzen kann anisotrop sein. In einigen Ausführungsformen umfasst das Rückätzverfahren ein Ätzgas, welches CF4, O2, Ar oder eine Kombination davon enthält, einen Gasstrom von etwa 100 sccm bis 1.000 sccm, eine Leistung von 50 W bis 500 W und einen Kammerdruck von etwa 0,1 Torr bis 700 Torr.
  • Nach dem Rückätzverfahren ist die kontinuierliche Sauerstofffängerschicht 88 der 18B in 19B in mehrere getrennte oder diskrete Sauerstofffängerschichten 88 unterteilt. Die getrennten Sauerstofffängerschichten 88 sind entsprechend in die Aussparungen 87 eingebettet. In einigen Ausführungsformen werden die getrennten Sauerstofffängerschichten 88 als eine diskontinuierliche Sauerstofffängerschicht bezeichnet und Abschnitte der Sauerstofffängerschicht sind entsprechend in die Aussparungen 87 eingebettet. In einigen Ausführungsformen, wie in der vergrößerten Teilansicht oben links in 19B dargestellt, liegt die Seitenwand jeder Sauerstofffängerschicht 88a im Wesentlichen auf gleicher Höhe wie die Seitenwände der benachbarten Dielektrikumsschichten 52. In einigen Ausführungsformen, wie in der vergrößerten Teilansicht oben rechts in 19B dargestellt, ist die Seitenwand jeder Sauerstofffängerschicht 88b um einen Abstand d, der nicht Null ist, geringfügig von Seitenwänden der benachbarten Dielektrikumsschichten 52 ausgespart. Der nicht Null betragende Abstand d liegt beispielsweise in einem Bereich von etwa 1 nm bis 5 nm. In einigen weiteren Ausführungsformen (nicht dargestellt) kann die Sauerstofffängerschicht 88 entlang oberen und unteren Flächen entsprechender der getrennten Sauerstofffängerschichten 88 (z.B. entlang Flächen der getrennten Sauerstofffängerschichten 88, welche mit den Dielektrikumsschichten 52 in Kontakt stehen) eine größere Dicke aufweisen als vertikal zwischen den oberen und unteren Flächen.
  • 20A bis 25B zeigen das Bilden und Strukturieren von Kanalzonen für die Speicherzellen 202 (siehe 1A) in den Gräben 86. 20A, 21A und 25A sind in einer dreidimensionalen Teilansicht dargestellt. In 20B, 21B, 22, 23, 24 und 25B sind Querschnittsansichten entlang der Linie C-C' der 1A dargestellt.
  • In einigen Ausführungsformen wird die Sauerstofffängerschicht der 18B ferner auf dem IMD 70 und entlang der Seitenwand jeder streifenförmigen Treppenstruktur gebildet und das Rückätzverfahren der 19B wird ferner bis zu der Sauerstofffängerschicht in der Treppenzone durchgeführt. Entsprechend weist jede der leitfähigen Stufen der Treppenstruktur eine leitfähige Leitung 72 und zwei Sauerstofffängerschichten 88 neben der leitfähigen Leitung 72 auf, wie in 1A dargestellt.
  • In 20A bis 23 werden eine ferroelektrische Schicht 90, eine Kanalschicht 92 und ein Dielektrikumsmaterial 98A in den Gräben 86 aufgebracht.
  • In 20A und 20B kann entlang Seitenwänden der Dielektrikumsschichten 52 und der Sauerstofffängerschichten 88, entlang oberen Flächen der Dielektrikumsschicht 52E und entlang den unteren Flächen der Gräben 86 formangepasst eine ferroelektrische Schicht 90 in den Gräben 86 aufgebracht werden. In einigen Ausführungsformen kann eine ferroelektrische Schicht 90 ferner auf dem IMD 70 und entlang der Seitenwand jeder Stufe der Treppenstruktur in der Treppenzone aufgebracht werden. Die ferroelektrische Schicht 90 kann Materialien aufweisen, die in der Lage sind, zwischen zwei verschiedenen Polarisationsrichtungen zu wechseln, indem eine geeignete Spannungsdifferenz über die ferroelektrische Schicht 90 angelegt wird. Beispielsweise weist die ferroelektrische Schicht 90 ein High-k-Dielektrikumsmaterial auf, wie z.B. Dielektrikumsmaterialien auf Hafnium-Basis (Hf-Basis) oder Ähnliches. In einigen Ausführungsformen weist die ferroelektrische Schicht 90 Hafniumoxid, Hafniumzirkoniumoxid, mit Silizium dotiertes Hafniumoxid oder Ähnliches auf.
  • In einigen Ausführungsformen kann die ferroelektrische Schicht 90 Bariumtitanoxid (BaTiO3), Bleititanoxid (PbTiO3), Bleizirkoniumoxid (PbZrO3), Lithiumnioboxid (LiNbO3), Natriumnioboxid (NaNbO3), Kaliumnioboxid (KNbO3), Kaliumtantaloxid (KTaO3), Bismutscandiumoxid (BiScO3), Bismuteisenoxid (BiFeO3), Hafniumerbiumoxid (Hf1-xErxO), Hafniumlanthanoxid (Hf1-xLaxO), Hafniumyttriumoxid (Hf1xYxO), Hafniumgadoliniumoxid (Hf1-xGdxO), Hafniumaluminiumoxid (Hf1-xAlxO), Hafniumzirkoniumoxid (Hf1-xZrxO, HZO), Hafniumtitanoxid (Hf1-xTixO), Hafniumtantaloxid (Hf1-xTaxO) oder Ähnliches enthalten. Speziell enthält die ferroelektrische Schicht 90 HfZrO, HfAlO, HfLaO, HfCeO, HfO, HfGdO, HfSiO oder eine Kombination davon. In einigen Ausführungsformen umfasst das Verfahren zum Bilden der ferroelektrischen Schicht 90 das Durchführen einer geeigneten Abscheidungstechnik, wie z.B. CVD, PECVD, Metalloxid-CVD (MOCVD), ALD, RPALD, PEALD, MBD oder Ähnliches.
  • In einigen Ausführungsformen weist die ferroelektrische Schicht 90 eine Dicke von etwa 1 nm bis 20 nm auf, z.B. 5 nm bis 10 nm. Andere Bereiche für die Dicke (z.B. mehr als 20 nm oder 5 nm bis 15 nm) sind ebenfalls anwendbar. In einigen Ausführungsformen liegt das Verhältnis der Dicke der ferroelektrischen Schicht 90 zur Dicke der Sauerstofffängerschicht 88 im Bereich von etwa 1:1 bis 20:1. In einigen Ausführungsformen wird die ferroelektrische Schicht 90 in einem vollständig amorphen Zustand gebildet. In alternativen Ausführungsformen wird die ferroelektrische Schicht 90 in einem teilkristallinen Zustand gebildet; das heißt, die ferroelektrische Schicht 90 wird in einem gemischten kristallinamorphen Zustand gebildet und weist ein gewisses Maß and struktureller Ordnung auf. In wiederum alternativen Ausführungsformen wird die ferroelektrische Schicht 90 in einem vollständig kristallinen Zustand gebildet. In einigen Ausführungsformen ist die ferroelektrische Schicht 90 eine Einzelschicht. In alternativen Ausführungsformen weist die ferroelektrische Schicht 90 eine mehrschichtige Struktur auf.
  • In einigen Ausführungsformen, wie in der vergrößerten Teilansicht oben links in 20B dargestellt, wird die ferroelektrische Schicht 90a formangepasst an der Seitenwand des mehrschichtigen Stapels 58 gebildet und weist deswegen ein im Wesentlichen glattes Seitenwandprofil auf. In einigen Ausführungsformen sind die gegenüberliegenden Flächen der ferroelektrischen Schicht 90a in der Nähe der Sauerstofffängerschicht 88a und entfernt von der Sauerstofffängerschicht 88a im Wesentlichen gerade, wie in der vergrößerten Teilansicht oben links in 20B dargestellt.
  • In einigen Ausführungsformen, wie in der vergrößerten Teilansicht oben rechts in 20B dargestellt, wird die ferroelektrische Schicht 90b formangepasst an der Seitenwand des mehrschichtigen Stapels 58 gebildet und weist deswegen ein ungleichmäßiges und welliges Seitenwandprofil auf. In einigen Ausführungsformen sind die gegenüberliegenden Flächen der ferroelektrischen Schicht 90b in der Nähe der Sauerstofffängerschicht 88b und entfernt von der Sauerstofffängerschicht 88b ungleichmäßig und wellig, wie in der vergrößerten Teilansicht oben rechts in 20B dargestellt. In einigen Ausführungsformen (nicht dargestellt) ist die Fläche der ferroelektrischen Schicht 90b in der Nähe der Sauerstofffängerschicht 88b wellig, während die Fläche der ferroelektrischen Schicht 90b entfernt von der Sauerstofffängerschicht 88b im Wesentlichen gerade ist.
  • Anschließend wird an der ferroelektrischen Schicht 90 ein Temperverfahren 91 durchgeführt. Der Temperaturbereich des Temperverfahrens 91 reicht von etwa 350 °C bis etwa 450 °C (z.B. 400 °C) in einem Umgebungsmedium, das N2, H2, Ar oder eine Kombination davon enthält, um eine gewünschte kristalline Gitterstruktur für die ferroelektrische Schicht 90 zu erhalten. In einigen Ausführungsformen ist die ferroelektrische Schicht 90 nach dem Temperverfahren 91 aus einem amorphen Zustand in einen teilkristallinen oder vollständig kristallinen Zustand umgewandelt. In alternativen Ausführungsformen ist die ferroelektrische Schicht 90 nach dem Temperverfahren aus einem amorphen Zustand in einen vollständig kristallinen Zustand umgewandelt.
  • In 21A und 21B wird eine Kanalschicht 92 formangepasst in den Gräben 86 über der ferroelektrischen Schicht 90 aufgebracht. Die Kanalschicht 92 weist Materialien auf, die zum Bereitstellen von Kanalzonen für die Speicherzellen 202 (siehe 1A) geeignet sind. Beispielsweise weist die Kanalschicht 92 einen Oxid-Halbleiter (OS) wie Zinkoxid (ZnO), Indiumwolframoxid (InWO), Indiumgalliumzinkoxid (InGaZnO, IGZO), Indiumzinkoxid (InZnO), Indiumzinnoxid (ITO), Kombinationen davon oder Ähnliches auf. Die Kanalschicht 92 kann durch CVD, PVD, ALD, PECVD oder Ähnliches aufgebracht werden. Die Kanalschicht 92 kann sich entlang Seitenwänden und unteren Flächen der Gräben 86 über der ferroelektrischen Schicht 90 erstrecken. In einigen Ausführungsformen kann die Kanalschicht 92 ferner auf der IMD 70 und entlang der Seitenwand jeder Stufe der Treppenstruktur in der Treppenzone aufgebracht werden.
  • Nachdem die Kanalschicht 92 aufgebracht ist, wird ein Temperverfahren an der Kanalschicht 92 durchgeführt. In einigen Ausführungsformen wird das Temperverfahren 93 bei einer Temperatur von etwa 300 °C bis etwa 450 °C in einem Umgebungsmedium mit Sauerstoffbezug durchgeführt, um die Ladungsträger der Kanalschicht 92 zu aktivieren.
  • In 22 wird in den Gräben 86 über der Kanalschicht 92 ein Dielektrikumsmaterial 98A aufgebracht. In einigen Ausführungsformen weist das Dielektrikumsmaterial 98A Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder Ähnliches auf, welches durch CVD, PVD, ALD, PECVD oder Ähnliches aufgebracht werden kann. Das Dielektrikumsmaterial 98A kann sich entlang Seitenwänden und unteren Flächen der Gräben 86 über der Kanalschicht 92 erstrecken. In einigen Ausführungsformen ist das Dielektrikumsmaterial 98A optional und kann nach Bedarf weggelassen werden.
  • In 23 werden in den Gräben 86 untere Abschnitte des Dielektrikumsmaterials 98A und der Kanalschicht 92 entfernt. Das Entfernungsverfahren umfasst ein akzeptables Ätzverfahren, z.B. Trockenätzen (z.B. RIE, NBE, Ähnliches), Nassätzen, Ähnliches oder eine Kombination davon. Das Ätzen kann anisotrop sein. In einigen Ausführungsformen werden die oberen Abschnitte des Dielektrikumsmaterials 98A und der Kanalschicht 92 von dem mehrschichtigen Stapel 58 entfernt. In einigen Ausführungsformen umfasst das Entfernungsverfahren eine Kombination aus Photolithographie und Ätzen.
  • Entsprechend können das zurückbleibende Dielektrikumsmaterial 98A und die Kanalschicht 92 Abschnitte der ferroelektrischen Schicht 90 auf unteren Flächen der Gräben 86 frei lassen. Somit können Abschnitte der Kanalschicht 92 an gegenüberliegenden Seitenwänden der Gräben 86 voneinander getrennt sein, was die Isolation zwischen den Speicherzellen 202 des Speicher-Arrays 200 (siehe 1A) verbessert.
  • In 24 wird Dielektrikumsmaterial 98B aufgebracht, um die Gräben 86 vollständig zu füllen. Das Dielektrikumsmaterial 98B kann aus einem oder mehreren Materialien und durch Verfahren gebildet werden, die die gleichen oder ähnliche sind wie jene für das Dielektrikumsmaterial 98A. In einigen Ausführungsformen können das Dielektrikumsmaterial 98A und das Dielektrikumsmaterial 98B durch das gleiche Verfahren (z.B. ein einziges Verfahren) gebildet werden. In anderen Ausführungsformen können das Dielektrikumsmaterial 98A und das Dielektrikumsmaterial 98B durch getrennte Verfahren gebildet werden. In einigen Ausführungsformen weisen das Dielektrikumsmaterial 98A und das Dielektrikumsmaterial 98B unterschiedliche Materialien auf. In einigen Ausführungsformen weisen das Dielektrikumsmaterial 98A und das Dielektrikumsmaterial 98B ein oder mehrere gleiche Materialien auf.
  • In 25A und 25B wird ein Entfernungsverfahren auf die Dielektrikumsmaterialien 98A/98B, die Kanalschicht 92 und die ferroelektrische Schicht 90 angewendet, um überschüssige Materialien über dem mehrschichtigen Stapel 58 zu entfernen. In einigen Ausführungsformen kann ein Planarisierungsverfahren wie CMP, ein Rückätzverfahren, Kombinationen davon oder Ähnliches angewendet werden. Durch das Planarisierungsverfahren wird der mehrschichtige Stapel 58 derart freigelegt, dass obere Flächen des mehrschichtigen Stapels 58 (z.B. der Dielektrikumsschicht 52E), der ferroelektrischen Schicht 90, der Kanalschicht 92, der Dielektrikumsmaterialien 98A/98B und des IMD 70 auf gleicher Höhe liegen, nachdem das Planarisierungsverfahren abgeschlossen ist.
  • 26A bis 29B zeigen Zwischenschritte der Herstellung der leitfähigen Säulen 106 und 108 (z.B. Source/Drain-Säulen) in der ferroelektrischen Speichervorrichtung 200. Die leitfähigen Säulen 106 und 108 können sich entlang einer Richtung senkrecht zu den leitfähigen Leitungen 72 erstrecken, so dass für Lese- und Schreiboperationen einzelne Zellen der ferroelektrischen Speichervorrichtung 200 ausgewählt werden können. 26A, 27A, 28A und 29A sind in einer dreidimensionalen Teilansicht dargestellt. In 26B und 27B sind Querschnittsansichten entlang der Linie C-C' der 1A dargestellt. In 28B und 29B sind Querschnittsansichten entlang der Linie D-D' der 1A dargestellt.
  • In 26A und 26B werden Gräben 100 durch die Kanalschicht 92 und die Dielektrikumsmaterialien 98A/98B strukturiert. Das Strukturieren der Gräben 100 kann beispielsweise durch eine Kombination von Photolithographie und Ätzen erfolgen. Die Gräben 100 können zwischen gegenüberliegenden Seitenwänden der ferroelektrischen Schicht 90 angeordnet sein und die Gräben 100 können benachbarte Stapel von Speicherzellen in der ferroelektrischen Speichervorrichtung 200 (siehe 1A) physisch trennen.
  • In 27A und 27B werden in den Gräben 100 Isolationssäulen 102 gebildet. In einigen Ausführungsformen wird über dem mehrschichtigen Stapel 58 eine Isolationsschicht aufgebracht, welche die Gräben 100 ausfüllt. Die Isolationsschicht kann beispielsweise Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder Ähnliches aufweisen, welches durch CVD, PVD, ALD, PECVD oder Ähnliches aufgebracht werden kann. Die Isolationsschicht kann sich entlang Seitenwänden und unteren Flächen der Gräben 100 über der Kanalschicht 92 erstrecken. Nach dem Aufbringen kann ein Planarisierungsverfahren (z.B. ein CMP, Zurückätzen oder Ähnliches) durchgeführt werden, um überschüssige Abschnitte der Isolationsschicht zu entfernen. In der resultierenden Struktur können obere Flächen des mehrschichtigen Stapels 58 (z.B. der Dielektrikumsschicht 52E), der ferroelektrischen Schicht 90, der Kanalschicht 92 und der Isolationssäulen 102 im Wesentlichen (z.B. innerhalb von Prozessschwankungen) auf gleicher Höhe liegen. In einigen Ausführungsformen können Materialien der Dielektrikumsmaterialien 98A/98B und der Isolationssäulen 102 so gewählt werden, dass sie selektiv zueinander geätzt werden können. Beispielsweise enthalten in einigen Ausführungsformen die Dielektrikumsmaterialien 98A/98B Oxid und die Isolationssäulen 102 enthalten Nitrid. In einigen Ausführungsformen enthalten die Dielektrikumsmaterialien 98A/98B Nitrid und die Isolationssäulen 102 enthalten Oxid. Andere Materialien sind ebenfalls möglich.
  • In 28A und 28B werden Gräben 104 für die anschließend gebildeten leitfähigen Säulen 106 und 108 definiert. Die Gräben 104 werden durch Strukturieren der Dielektrikumsmaterialien 98A/98B beispielsweise mit einer Kombination aus Photolithographie und Ätzen gebildet. In einigen Ausführungsformen, wie in 28A dargestellt, wird über dem mehrschichtigen Stapel 58, den Dielektrikumsmaterialien 98A/98B, den Isolationssäulen 102, der Kanalschicht 92 und der ferroelektrischen Schicht 90 ein Photoresist 118 gebildet. In einigen Ausführungsformen wird der Photoresist 118 durch eine akzeptable Photolithographietechnik zum Definieren von Öffnungen 120 strukturiert. Jede der Öffnungen 120 kann die entsprechende Isolationssäule 102 und zwei getrennte Zonen der Dielektrikumsmaterialien 98A/98B neben der Isolationssäule 102 frei legen. Auf diese Weise kann jede der Öffnungen 120 eine Struktur einer leitfähigen Säule 106 und einer benachbarten leitfähigen Säule 108 definieren, die durch die Isolationssäulen 102 getrennt werden.
  • Anschließend können Abschnitte der Dielektrikumsmaterialien 98A/98B, die durch die Öffnungen 120 freigelegt sind, durch ein akzeptables Ätzverfahren, z.B. Trockenätzen (z.B. RIE, NBE, Ähnliches), Nassätzen, Ähnliches oder eine Kombination davon, entfernt werden. Das Ätzen kann anisotrop sein. Bei dem Ätzverfahren kann ein Ätzmittel verwendet werden, welches die Dielektrikumsmaterialien 98A/98B ätzt, ohne die Isolationssäulen 102 in bedeutendem Maß zu ätzen. Als ein Ergebnis werden die Isolationssäulen 102 nicht in bedeutendem Maß entfernt, obwohl die Öffnungen 120 die Isolationssäulen 102 frei legen. Strukturen der Gräben 104 können den leitfähigen Säulen 106 und 108 entsprechen (siehe 29A und 29B). Nachdem die Gräben 104 strukturiert sind, kann der Photoresist 118 entfernt werden, beispielsweise durch Veraschen.
  • In 29A und 29B werden die Gräben 104 mit einem leitfähigen Material gefüllt, um die leitfähigen Säulen 106 und 108 zu bilden. Das leitfähige Material kann Kupfer, Titan, Titannitrid, Tantal, Tantalnitrid, Wolfram, Ruthenium, Aluminium, Kombinationen davon oder Ähnliches enthalten, welches beispielsweise durch CVD, PVD, ALD, PECVD oder Ähnliches gebildet werden kann. Nachdem das leitfähige Material aufgebracht ist, kann eine Planarisierung (z.B. ein CMP, Zurückätzen oder Ähnliches) durchgeführt werden, um überschüssige Abschnitte des leitfähigen Materials zu entfernen, wodurch die leitfähigen Säulen 106 und 108 gebildet werden. In der resultierenden Struktur können obere Flächen des mehrschichtigen Stapels 58 (z.B. der Dielektrikumsschicht 52E), der ferroelektrischen Schicht 90, der Kanalschicht 92, der leitfähigen Säulen 106 und der leitfähigen Säulen 108 im Wesentlichen (z.B. innerhalb von Prozessschwankungen) auf gleicher Höhe liegen. In einigen Ausführungsformen entsprechen die leitfähigen Säulen 106 den Bitleitungen in dem Speicher-Array und sind mit diesen elektrisch verbunden und die leitfähigen Säulen 108 entsprechen den Source-Leitungen in der ferroelektrischen Speichervorrichtung 200 und sind mit diesen elektrisch verbunden.
  • Somit können in der ferroelektrischen Speichervorrichtung 200 gestapelte Speicherzellen 202 gebildet werden, wie in 29A dargestellt. Jede Speicherzelle 202 umfasst eine Gate-Elektrode (z.B. einen Abschnitt einer entsprechenden leitfähigen Leitung 72), ein Gate-Dielektrikum (z.B. einen Abschnitt einer entsprechenden ferroelektrischen Schicht 90), eine Kanalzone (z.B. einen Abschnitt einer entsprechenden Kanalschicht 92) und Source/Drain-Säulen (z.B. Abschnitte entsprechender leitfähiger Säulen 106 und 108). Die Isolationssäulen 102 isolieren benachbarte Speicherzellen 202 in einer gleichen Spalte und auf einer gleichen vertikalen Höhe. Die Speicherzellen 202 können in einem Array vertikal gestapelter Zeilen und Spalten angeordnet sein.
  • In 30A, 30B, 30G, 30H und 30I wird auf oberen Flächen des mehrschichtigen Stapels 58 (z.B. der Dielektrikumsschicht 52E), der ferroelektrischen Schicht 90, der Kanalschicht 92, der leitfähigen Säulen 106 und der leitfähigen Säulen 108 und des IMD 70 ein IMD 74 gebildet. Auf den leitfähigen Leitungen 72, den leitfähigen Säulen 106 bzw. den leitfähigen Säulen 108 werden leitfähige Kontakte 110, 112 und 114 hergestellt. 30A zeigt eine perspektivische Ansicht der ferroelektrischen Speichervorrichtung 200. 30B zeigt eine Querschnittsansicht der Vorrichtung entlang der Linie D-D' in 1A. 30C bis 30F zeigen verschiedene vergrößerte Teilansichten in einer Zone R1 der 30B. 30G zeigt eine Draufsicht auf die ferroelektrische Speichervorrichtung 200. 30H zeigt eine Querschnittsansicht entlang der Linie E-E' in 30A. 30I zeigt eine Querschnittsansicht der Vorrichtung entlang der Linie B-B' in 1A.
  • Das IMD 74 kann aus einem Dielektrikumsmaterial gebildet werden und kann durch ein beliebiges geeignetes Verfahren wie CVD, PECVD, Flowable-CVD (FCVD) oder Ähnliches aufgebracht werden. Die Dielektrikumsmaterialien können Phosphorsilikatglas (PSG), Borosilikatglas (BSG), mit Bor dotiertes Phosphorsilikatglas (BPSG), undotiertes Silikatglas (USG) oder Ähnliches umfassen. In einigen Ausführungsformen kann das IMD 74 ein Oxid (z.B. Siliziumoxid oder Ähnliches), ein Nitrid (z.B. Siliziumnitrid oder Ähnliches), eine Kombination davon oder Ähnliches sein. Andere Dielektrikumsmaterialien, die durch ein beliebiges akzeptables Verfahren gebildet werden, können ebenfalls verwendet werden. Anschließend wird ein Entfernungsverfahren auf die IMD 74 angewendet, um überschüssiges Dielektrikumsmaterial über dem mehrschichtigen Stapel 58 zu entfernen. In einigen Ausführungsformen kann das Entfernungsverfahren ein Planarisierungsverfahren sein, wie z.B. chemisch-mechanisches Polieren (CMP), ein Rückätzverfahren, Kombinationen davon oder Ähnliches.
  • In einigen Ausführungsformen kann die Treppenform der leitfähigen Leitungen 72 für die leitfähigen Kontakte 110 eine Fläche auf jeder der leitfähigen Leitungen 72 zum Kontaktieren bereitstellen. In einigen Ausführungsformen kann das Bilden der leitfähigen Kontakte 110 das Strukturieren von Öffnungen in dem IMD 74 und dem IMD 70 umfassen, um beispielsweise durch eine Kombination aus Photolithographie und Ätzen Abschnitte der leitfähigen Leitungen 72 freizulegen. In den Öffnungen werden eine Auskleidung (nicht dargestellt), z.B. eine Diffusionsbarriereschicht, eine Haftschicht oder Ähnliches und ein leitfähiges Material gebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder Ähnliches enthalten. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Kobalt, Aluminium, Nickel oder Ähnliches enthalten. Ein Planarisierungsverfahren wie CMP kann durchgeführt werden, um das überschüssige Material von der Fläche des IMD 74 zu entfernen. Die zurückbleibende Auskleidung und das leitfähige Material bilden die leitfähigen Kontakte 110 in den Öffnungen.
  • Wie ebenfalls durch die perspektivische Ansicht der 30A dargestellt, können auf den leitfähigen Säulen 106 bzw. den leitfähigen Säulen 108 außerdem leitfähige Kontakte 112 und 114 hergestellt werden. Die leitfähigen Kontakte 112, 114 und 110 können elektrisch mit den leitfähigen Leitungen 116A, 116B bzw. 116C verbunden sein, welche das Speicher-Array mit einem darunter/darüber liegenden Schaltungssystem (z.B. einem Steuerungs-Schaltungssystem) und/oder Signal-, Stromversorgungs- und Masseleitungen in dem Halbleiter-Die verbinden. Beispielsweise können sich die leitfähigen Kontakte 110, wie in 30H dargestellt, durch das IMD 74 und das IMD 70 erstrecken, um die leitfähigen Leitungen 116C elektrisch mit den leitfähigen Leitungen 72 und den darunter liegenden aktiven Vorrichtungen auf dem Substrat zu verbinden. Andere leitfähige Kontakte oder Durchkontaktierungen können durch das IMD 74 hindurch gebildet werden, um die leitfähigen Leitungen 116A und 116B elektrisch mit den darunter liegenden aktiven Vorrichtungen auf dem Substrat zu verbinden. Andere leitfähige Kontakte oder Durchkontaktierungen können sich durch die ferroelektrische Speichervorrichtung 200 erstrecken, z.B. durch die Isolationssäulen 102 und die ferroelektrische Schicht 90, und solche leitfähigen Kontakte oder Durchkontaktierungen können elektrisch mit darunter liegenden leitfähigen Merkmalen verbunden sein, z.B. mit den leitfähigen Merkmalen 322 der Interconnect-Struktur 320. In alternativen Ausführungsformen können Leitwege und/oder Stromversorgungsleitungen zu und von dem Speicher-Array zusätzlich zu oder statt der Interconnect-Struktur 320 durch eine Interconnect-Struktur bereitgestellt werden, die über der ferroelektrischen Speichervorrichtung 200 ausgebildet ist. Entsprechend kann die ferroelektrische Speichervorrichtung 200 fertiggestellt sein.
  • 30C bis 30D zeigen vergrößerte Teilansichten der Zone R1 der 30B, um die Beziehung der Sauerstofffängerschicht 88 zu den benachbarten Elementen zu beschreiben.
  • In einigen Ausführungsformen kann, wie in 30C dargestellt, wenn eine Sauerstofffängerschicht 88 Hf, La, Al oder eine Kombination davon enthält, die Sauerstofffängerschicht 88 den Sauerstoff aus der unerwünschten Grenzflächenschicht durch einen „direkten Fangmechanismus“ absorbieren. Speziell werden ein Metallelement M in der Sauerstofffängerschicht 88 und ein Sauerstoffelement O an der Grenzfläche zwischen der ferroelektrischen Schicht 90 und der Kanalschicht 92 in der ferroelektrische High-k-Schicht 90 kombiniert/zur Reaktion gebracht. Mit anderen Worten, der Sauerstoff an der Grenzfläche zwischen der ferroelektrischen Schicht 90 und der Kanalschicht 92 kann durch die High-k-Schicht absorbiert und dementsprechend eliminiert werden (direktes Einfangen).
  • In anderen Ausführungsformen kann, wie in 30D dargestellt, wenn eine Sauerstofffängerschicht 88 TiSiN (TSN), TiAl, Ti oder eine Kombination davon enthält, die Sauerstofffängerschicht 88 den Sauerstoff aus der unerwünschten Grenzflächenschicht durch einen „Fern-Fangmechanismus“ absorbieren. Speziell wird ein Sauerstoffelement O an der Grenzfläche zwischen der ferroelektrischen Schicht 90 und der Kanalschicht 92 durch die Sauerstofffängerschicht 88 eingefangen, welche die leitfähige Leitung 72 bedeckt. Mit anderen Worten, der Sauerstoff an der Grenzfläche zwischen der ferroelektrischen Schicht 90 und der Kanalschicht 92 kann durch die überdeckte oder dotierte Gate-Elektrode absorbiert und dementsprechend eliminiert werden (Fern-Fangen). Die Sauerstofffängerschicht 88 wird in einigen Beispielen als Teil der entsprechenden Gate-Elektrode (z.B. der leitfähigen Leitung 72) angesehen.
  • In einigen Ausführungsformen, wie in 30C und 30D dargestellt, weist die Sauerstofffängerschicht 88 eine Dicke TH1 in einem Bereich von etwa 1 nm bis 10 nm auf, die ferroelektrische Schicht 90 weist eine Dicke TH2 in einem Bereich von etwa 1 nm bis 20 nm auf und die Kanalschicht 92 weist eine Dicke TH3 in einem Bereich von etwa 1 nm bis etwa 20 nm auf. In einigen Ausführungsformen beträgt ein Verhältnis der Dicke der ferroelektrischen Schicht 90 zur Dicke jeder der mehreren Sauerstofffängerschichten 88 etwa 1:1 bis 20:1. In einigen Ausführungsformen beträgt ein Verhältnis der Dicke der Kanalschicht 92 zur Dicke jeder der mehreren Sauerstofffängerschichten 88 etwa 1:1 bis 20:1.
  • In einer anderen Betrachtungsweise kann die Sauerstofffängerschicht 88 der Offenbarung gemäß der Änderung der freien Gibbs-Energie zwischen zwei Metallelementen aus der Kanalschicht 92 bzw. der Sauerstofffängerschicht 88 ausgewählt werden. Beispielsweise ist die freie Gibbs-Energie bei 400 °C von In2O3 (etwa -117 kcal/gfw), Ga2O3 (etwa -145 kcal/gfw) oder ZnO (etwa -145 kcal/gfw) größer als die freie Gibbs-Energie bei 400 □ von Al2O3
    (etwa -234 kcal/gfw) oder HfO (etwa -238 kcal/gfw). Die freie Gibbs-Energie impliziert ein Maß an gleichzeitiger Oxidation eines Metallelements, basierend auf der Thermodynamik. Je geringer die freie Gibbs-Energie, desto einfacher erfolgt die gleichzeitige Oxidation eines Metallelements. Beispielsweise ist die freie Gibbs-Energie bei 400 °C von In2O3
    (etwa -117 kcal/gfw) größer als die freie Gibbs-Energie bei 400 □ von HfO (etwa -238 kcal/gfw), so dass die freie Gibbs-Energie zwischen dem HfO und dem In2O3 negativ ist, was nahelegt, dass Hf ein geeignetes Fängerelement zum Entfernen eines Oxidelements aus der Kanalschicht 92 ist.
  • In der Ausführungsform der 30C und der 30D ist die Speicherzelle der Speichervorrichtung als eine grenzflächenfreie Vorrichtung ausgebildet. Die Offenbarung ist jedoch nicht darauf beschränkt. In anderen Ausführungsformen, wie in 30E und 30F dargestellt, ist mindestens eine der Speicherzellen der Speichervorrichtung so ausgebildet, dass sie eine sehr dünne Grenzflächenschicht IL zwischen der Kanalschicht 92 und der ferroelektrischen Schicht 90 aufweist. Die Grenzflächenschicht IL der Speichervorrichtung ist mit einer Sauerstofffängerschicht der Offenbarung viel dünner als die herkömmliche Grenzflächenschicht der herkömmlichen Vorrichtung ohne eine Sauerstofffängerschicht. In einigen Ausführungsformen weist die Grenzflächenschicht IL eine Dicke TH4 auf, die in einem Bereich von etwa 1 nm bis 5 nm liegt.
  • Obwohl die Ausführungsformen der 1 bis 30I eine spezielle Struktur für die leitfähigen Säulen 106 und 108 zeigen, sind andere Konfigurationen ebenfalls möglich. Beispielsweise weisen die leitfähigen Säulen 106 und 108 in diesen Ausführungsformen eine gestaffelte Struktur auf. In anderen Ausführungsformen sind die leitfähigen Säulen 106 und 108 jedoch in einer gleichen Zeile des Arrays alle aneinander ausgerichtet, wie in der ferroelektrischen Speichervorrichtung 200A der 32 dargestellt.
  • 31 veranschaulicht ein Verfahren zum Bilden einer ferroelektrischen Speichervorrichtung gemäß einigen Ausführungsformen. Obwohl das Verfahren als eine Folge von Handlungen oder Ereignissen veranschaulicht und/oder beschrieben wird, versteht es sich, dass das Verfahren nicht auf die dargestellte Reihenfolge oder die dargestellten Handlungen beschränkt ist. Somit können die Handlungen in einigen Ausführungsformen in anderen Reihenfolgen ausgeführt werden als dargestellt und/oder sie können gleichzeitig ausgeführt werden. Ferner können in einigen Ausführungsformen die dargestellten Handlungen oder Ereignisse in mehrere Handlungen oder Ereignisse aufgeteilt sein, welche zu verschiedenen Zeiten oder gleichzeitig mit anderen Handlungen oder Teilhandlungen ausgeführt werden können. In einigen Ausführungsformen können einige dargestellte Handlungen oder Ereignisse weggelassen werden und andere nicht dargestellte Handlungen oder Ereignisse können einbezogen werden.
  • Bei der Handlung 400 wird ein mehrschichtiger Stapel auf einem Substrat gebildet. Der mehrschichtige Stapel weist mehrere Dielektrikumsschichten und mehrere leitfähige Schichten auf, die abwechselnd aufeinandergestapelt sind, und weist einen ihn durchdringenden Graben auf. 13 bis 16B zeigen verschiedene Ansichten, welche einigen Ausführungsformen der Handlung 400 entsprechen.
  • Bei der Handlung 402 werden die mehreren leitfähigen Schichten, die durch eine Seitenwand des Grabens freigelegt sind, ausgespart und dadurch werden mehrere Aussparungen gebildet und eine der mehreren Aussparungen wird zwischen zwei benachbarten Dielektrikumsschichten gebildet. In einigen Ausführungsformen umfasst das Aussparen der Dielektrikumsschichten das Durchführen eines Ätzverfahrens, z.B. eines lateralen Ätzverfahrens. 17A bis 17B zeigen verschiedene Ansichten, welche einigen Ausführungsformen der Handlung 402 entsprechen.
  • Bei der Handlung 404 werden innerhalb der mehreren Aussparungen entsprechend mehrere Sauerstofffängerschichten gebildet. In einigen Ausführungsformen umfasst das Verfahren zum Bilden der Sauerstofffängerschichten das Bilden eines Sauerstofffängermaterials formangepasst und kontinuierlich an einer Seitenwand des mehrschichtigen Stapels und das Sauerstofffängermaterial füllt die Aussparungen aus. Anschließend wird ein Rückätzverfahren an dem Sauerstofffängermaterial durchgeführt, um Abschnitte des Sauerstofffängermaterials an Seitenwänden der Dielektrikumsschichten des mehrschichtigen Stapels zu entfernen. 18A bis 18B zeigen verschiedene Ansichten, welche einigen Ausführungsformen der Handlung 404 entsprechen.
  • Bei der Handlung 406 wird an der Seitenwand des Grabens eine ferroelektrische Schicht gebildet, wobei die ferroelektrische Schicht Seitenwände der Sauerstofffängerschichten und Seitenwände der Dielektrikumsschichten bedeckt. 20A bis 20B zeigen verschiedene Ansichten, welche einigen Ausführungsformen der Handlung 406 entsprechen.
  • Bei der Handlung 408 wird ein erstes Temperverfahren an der ferroelektrischen Schicht durchgeführt. In einigen Ausführungsformen reicht der Temperaturbereich des zweiten Temperverfahrens von etwa 350 °C bis etwa 450 °C (z.B. 400°C), um eine gewünschte kristalline Gitterstruktur für die Sauerstofffängerschicht zu erhalten. 20A bis 20B zeigen verschiedene Ansichten, welche einigen Ausführungsformen der Handlung 408 entsprechen.
  • Bei der Handlung 410 wird auf der ferroelektrischen Schicht eine Kanalschicht gebildet. 21A bis 21B zeigen verschiedene Ansichten, welche einigen Ausführungsformen der Handlung 408 entsprechen.
  • Bei der Handlung 412 wird ein zweites Temperverfahren an der Kanalschicht durchgeführt. 21A bis 21B zeigen verschiedene Ansichten, welche einigen Ausführungsformen der Handlung 410 entsprechen.
  • 33 zeigt eine vereinfachte perspektivische Ansicht einer ferroelektrischen Speichervorrichtung gemäß einigen Ausführungsformen. Die ferroelektrische Speichervorrichtung ähnelt der ferroelektrischen Speichervorrichtung 200 der 1A, jedoch sind die Sauerstofffängerschichten 88 aus der treppenförmigen Zone entfernt. Speziell bleibt, wenn während der Verfahren der 17A bis 29A die Speicherzellen 202 definiert werden, die Treppenstruktur eine massive Treppenstruktur, anstatt zu den oben beschriebenen streifenförmigen mehreren Treppenstrukturen zu werden. Insbesondere sind an zwei Seiten der Speicherzellenzone zwei massive Treppenstrukturen angeordnet. Nachdem die Speicherzellen 202 definiert sind, werden die zwei massiven Treppenstrukturen in mehrere streifenförmige Treppenstrukturen an zwei Seiten der Speicherzellenzone aufgeteilt.
  • Die Strukturen der ferroelektrischen Speichervorrichtungen der Offenbarung werden nachstehend in Bezug auf 1A bis 33 beschrieben.
  • In einigen Ausführungsformen weist eine ferroelektrische Speichervorrichtung 200/200A/200' einen mehrschichtigen Stapel 58, eine Kanalschicht 92, eine ferroelektrische Schicht 90 und Sauerstofffängerschichten 88 auf. Der mehrschichtige Stapel 58 ist über einem Substrat 50 angeordnet und weist mehrere leitfähige Schichten (z.B. die leitfähigen Leitungen 72) und mehrere Dielektrikumsschichten 52 auf, die abwechselnd gestapelt sind. Die Kanalschicht 92 durchdringt die mehreren leitfähigen Schichten (z.B. der leitfähigen Leitungen 72) und die mehreren Dielektrikumsschichten 52. Die ferroelektrische Schicht 90 ist zwischen der Kanalschicht 92 und jeder der mehreren leitfähigen Schichten (z.B. der leitfähigen Leitungen 72) und der mehreren Dielektrikumsschichten 52 angeordnet. Die Sauerstofffängerschichten 88 enthalten Hf, La, Al, TiSiN, TiAl, Ti oder eine Kombination davon. Eine Sauerstofffängerschicht 88 ist zwischen der ferroelektrischen Schicht 90 und jeder der mehreren leitfähigen Schichten (z.B. der leitfähigen Leitungen 72) angeordnet. Jede der Sauerstofffängerschichten 88 kann eine Einzelschicht sein oder eine mehrschichtige Struktur aufweisen. In einigen Ausführungsformen weisen die mehreren Sauerstofffängerschichten 88 eine Dicke von etwa 1 nm bis 10 nm auf.
  • In einigen Ausführungsformen, wie in 20B dargestellt, sind Enden der leitfähigen Schichten (z.B. der leitfähigen Leitungen 72) in Bezug auf Enden der Dielektrikumsschichten 52 ausgespart. In einigen Ausführungsformen sind die Seitenwände der Sauerstofffängerschichten 88 im Wesentlichen bündig mit den Seitenwänden der Dielektrikumsschichten 52. In einigen Ausführungsformen sind die Seitenwände der Sauerstofffängerschichten 88 konkav oder konvex in Bezug auf die Seitenwände der Dielektrikumsschichten 52.
  • In einigen Ausführungsformen ist die ferroelektrische Speichervorrichtung frei von einer unerwünschten Grenzflächenschicht zwischen der Kanalschicht 92 und der ferroelektrischen Schicht 90, wie in 30C und 30D dargestellt. In einigen Ausführungsformen ist eine Grenzfläche zwischen der Kanalschicht 92 und der ferroelektrischen Schicht 90 frei von Sauerstoffatomen.
  • In anderen Ausführungsformen weist die ferroelektrische Speichervorrichtung ferner eine sehr dünne Grenzflächenschicht IL zwischen der Kanalschicht 92 und der ferroelektrischen Schicht 90 auf und ein Sauerstoffgehalt der Grenzflächenschicht IL ist höher als ein Sauerstoffgehalt der Kanalschicht 92. In einigen Ausführungsformen enthält die Kanalschicht 92 ZnO, InWO, InGaZnO, InZnO, ITO oder eine Kombination davon und die Grenzflächenschicht IL enthält sauerstoffreiches ZnO, InWO, InGaZnO, InZnO, ITO oder eine Kombination davon. In einigen Ausführungsformen weist die Grenzflächenschicht IL eine Dicke von etwa 1 nm bis 5 nm auf. Die Grenzflächenschicht IL ist so dünn, dass die Leistungsfähigkeit der ferroelektrischen Speichervorrichtung nicht beeinträchtigt ist.
  • In einigen Ausführungsformen weist eine ferroelektrische Speichervorrichtung 200/200A/200' einen mehrschichtigen Stapel 58, mehrere dielektrische Säulen (z.B. die Dielektrikumsmaterialien 98A/98B), eine Oxid-Halbleiterschicht (z.B. die Kanalschicht 92), eine ferroelektrische Schicht 90 und mehrere Sauerstofffängerschichten 88 auf. Der mehrschichtige Stapel 58 ist über einem Substrat 50 angeordnet und weist mehrere Gate-Elektroden-Schichten (z.B. die leitfähigen Leitungen 72) und mehrere Dielektrikumsschichten 52 auf, die abwechselnd gestapelt sind. Die mehreren dielektrischen Säulen (z.B. die Dielektrikumsmaterialien 98A/98B) sind auf dem Substrat 50 angeordnet und durchdringen den mehrschichtigen Stapel 58. Die Oxid-Halbleiterschicht (z.B. die Kanalschicht 92) weist ein erstes Metallelement auf und ist zwischen dem mehrschichtigen Stapel 58 und jeder der dielektrischen Säulen (z.B. der Dielektrikumsmaterialien 98A/98B) angeordnet. Die ferroelektrische Schicht 90 ist zwischen der Oxid-Halbleiterschicht (z.B. der Kanalschicht 92) und dem mehrschichtigen Stapel 58 angeordnet. Die mehreren Sauerstofffängerschichten 88 weisen ein zweites Metallelement auf und eine der mehreren Sauerstofffängerschichten 88 ist zwischen der ferroelektrischen Schicht 90 und jeder der mehreren Gate-Elektroden-Schichten (z.B. der leitfähigen Leitungen 72) angeordnet. In einigen Ausführungsformen ist eine freie Gibbs-Energie eines Oxids des zweiten Metallelements geringer als eine freie Gibbs-Energie eines Oxids des ersten Metallelements.
  • In einigen Ausführungsformen enthält das zweite Metallelement Hf, La, Al, Ti, Al oder eine Kombination davon. In einigen Ausführungsformen enthalten die Sauerstofffängerschichten 88 Hf, La, Al, TiSiN, TiAl, Ti oder eine Kombination davon.
  • In einigen Ausführungsformen enthält das erste Metallelement Zn, In, W, Ga, Sn oder eine Kombination davon. In einigen Ausführungsformen enthält die Oxid-Halbleiterschicht (z.B. die Kanalschicht 92) ZnO, InWO, InGaZnO, InZnO, ITO oder eine Kombination davon.
  • In einigen Ausführungsformen weisen die mehreren Sauerstofffängerschichten 88 ferner ein Sauerstoffelement auf. In einigen Ausführungsformen wird eine Sauerstoffdichte in den mehreren Sauerstofffängerschichten 88 in Richtung der ferroelektrischen Schicht 90 höher. Die Sauerstofffängerschichten 88 werden in einigen Beispielen als oxidierte Sauerstofffängerschichten 88 bezeichnet.
  • In einigen Ausführungsformen weist eine ferroelektrische Speichervorrichtung 200/200A/200' einen mehrschichtigen Stapel 58, mehrere dielektrische Säulen (z.B. die Dielektrikumsmaterialien 98A/98B), eine Kanalschicht 92 und eine ferroelektrische Schicht 90 auf. Der mehrschichtige Stapel 58 ist auf einem Substrat 50 angeordnet und weist mehrere Gate-Elektroden-Schichten (z.B. die leitfähigen Leitungen 72) und mehrere Dielektrikumsschichten 52 auf, die abwechselnd gestapelt sind. Die dielektrischen Säulen (z.B. die Dielektrikumsmaterialien 98A/98B) sind auf dem Substrat 50 angeordnet und durchdringen den mehrschichtigen Stapel 58. Die Kanalschicht 92 ist zwischen dem mehrschichtigen Stapel 58 und jeder der dielektrischen Säulen (z.B. der Dielektrikumsmaterialien 98A/98B) angeordnet. Die ferroelektrische Schicht 90 ist zwischen der Kanalschicht 92 und dem mehrschichtigen Stapel 58 angeordnet, wobei die ferroelektrische Schicht 90 mit jeder der Gate-Elektroden-Schichten (z.B. der leitfähigen Leitungen 72) in Kontakt steht, aber von jeder der Dielektrikumsschichten 52 getrennt ist.
  • In einigen Ausführungsformen weist die ferroelektrische Speichervorrichtung 200/200A/200' ferner mehrere leitfähige Säulen 106 und 108 auf, welche auf dem Substrat 50 angeordnet sind und den mehrschichtigen Stapel 58 durchdringen. In einigen Ausführungsformen weist jede der mehreren dielektrischen Säulen (z.B. der Dielektrikumsmaterialien 98A/98B) zwei leitfähige Säulen 106 und 108 auf, die an zwei Enden davon angeordnet sind.
  • In einigen Ausführungsformen befinden sich die dielektrischen Säulen (z.B. die Dielektrikumsmaterialien 98A/98B) in einer gestaffelten Anordnung. Speziell sind die dielektrischen Säulen benachbarter Spalten gestaffelt angeordnet, wie in 29A dargestellt. Die Offenbarung ist jedoch nicht darauf beschränkt. In einigen Ausführungsformen sind die dielektrischen Säulen (z.B. die Dielektrikumsmaterialien 98A/98B) benachbarter Spalten in einem regelmäßigen Array angeordnet und aneinander ausgerichtet, wie in 32 dargestellt.
  • In einigen Ausführungsformen der Offenbarung kann der Sauerstoff in der Grenzfläche zwischen der Kanalschicht (z.B. der Oxid-Halbleiterschicht) und der ferroelektrischen Schicht (z.B. der Metalloxidschicht) durch eine Sauerstofffängerschicht, die zwischen der ferroelektrischen Schicht und jedem leitfähigen Gate angeordnet ist, absorbiert und entsprechend eliminiert werden. Dementsprechend kann eine grenzflächenschichtfreie Speichervorrichtung erhalten werden und die Oxid-Halbleiterflächen-Kanalqualität kann verbessert werden.
  • In den obigen Ausführungsformen wird die ferroelektrische Speichervorrichtung durch ein „Treppe-zuerst-Verfahren“ gebildet, bei welchem die Treppenstruktur gebildet wird, bevor die Speicherzellen gebildet werden. Die Offenbarung ist jedoch nicht darauf beschränkt. In anderen Ausführungsformen kann die ferroelektrische Speichervorrichtung durch ein „Treppe-zuletzt-Verfahren“ gebildet werden, bei welchem die Treppenstruktur gebildet wird, nachdem die Speicherzellen gebildet sind.
  • In den obigen Ausführungsformen werden die Gate-Elektroden (z.B. die Wortleitungen) durch das Aufbringen von Opfer-Dielektrikumsschichten, gefolgt vom Ersetzen der Opfer-Dielektrikumsschichten durch leitfähige Schichten gebildet. Die Offenbarung ist jedoch nicht darauf beschränkt. In anderen Ausführungsformen können die Gate-Elektroden (z.B. die Wortleitungen) ohne einen Ersetzungsschritt in der ersten Stufe gebildet werden.
  • In der vorliegenden Offenbarung sind viele Variationen der obigen Beispiele vorgesehen. Es versteht sich, dass unterschiedliche Ausführungsformen unterschiedliche Vorteile aufweisen können und dass kein bestimmter Vorteil notwendigerweise für alle Ausführungsformen erforderlich ist.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist eine ferroelektrische Speichervorrichtung einen mehrschichtigen Stapel, eine Kanalschicht, eine ferroelektrische Schicht und Sauerstofffängerschichten auf. Der mehrschichtige Stapel ist auf einem Substrat angeordnet und weist mehrere leitfähige Schichten und mehrere Dielektrikumsschichten auf, die abwechselnd gestapelt sind. Die Kanalschicht durchdringt die mehreren leitfähigen Schichten und die mehreren Dielektrikumsschichten. Die ferroelektrische Schicht ist zwischen der Kanalschicht und jeder der mehreren leitfähigen Schichten und der mehreren Dielektrikumsschichten angeordnet. Die Sauerstofffängerschichten enthalten Hf, La, Al, TiSiN, TiAl, Ti oder eine Kombination davon und eine der Sauerstofffängerschichten ist zwischen der ferroelektrischen Schicht und jeder der mehreren leitfähigen Schichten angeordnet.
  • Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung weist eine ferroelektrische Speichervorrichtung einen mehrschichtigen Stapel, mehrere dielektrische Säulen, eine Oxid-Halbleiterschicht, eine ferroelektrische Schicht und mehrere Sauerstofffängerschichten auf. Der mehrschichtige Stapel ist auf einem Substrat angeordnet und weist mehrere Gate-Elektroden-Schichten und mehrere Dielektrikumsschichten auf, die abwechselnd gestapelt sind. Die mehreren dielektrischen Säulen sind auf dem Substrat angeordnet und durchdringen den mehrschichtigen Stapel. Die Oxid-Halbleiterschicht weist ein erstes Metallelement auf und ist zwischen dem mehrschichtigen Stapel und jeder der dielektrischen Säulen angeordnet. Die ferroelektrische Schicht ist zwischen der Oxid-Halbleiterschicht und dem mehrschichtigen Stapel angeordnet. Die mehreren Sauerstofffängerschichten weisen ein zweites Metallelement auf und eine der mehreren Sauerstofffängerschichten ist zwischen der ferroelektrischen Schicht und jeder der mehreren Gate-Elektroden-Schichten angeordnet. In einigen Ausführungsformen ist eine freie Gibbs-Energie eines Oxids des zweiten Metallelements geringer als eine freie Gibbs-Energie eines Oxids des ersten Metallelements.
  • Gemäß weiteren alternativen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren zum Bilden einer ferroelektrischen Speichervorrichtung die folgenden Operationen. Auf einem Substrat wird ein mehrschichtiger Stapel gebildet. Der mehrschichtige Stapel weist mehrere Dielektrikumsschichten und mehrere leitfähige Schichten auf, die abwechselnd gestapelt sind, und weist einen Graben auf, der ihn durchdringt. Die leitfähigen Schichten, die durch eine Seitenwand des Grabens freigelegt sind, werden ausgespart, so dass zwischen den zwei benachbarten Dielektrikumsschichten eine Aussparung gebildet wird. Innerhalb der mehreren Aussparungen werden entsprechend mehrere Sauerstofffängerschichten gebildet. An der Seitenwand des Grabens wird eine ferroelektrische Schicht gebildet, wobei die ferroelektrische Schicht Seitenwände der Sauerstofffängerschichten und Seitenwände der Dielektrikumsschichten bedeckt. Auf der ferroelektrischen Schicht wird eine Kanalschicht gebildet.
  • Im Vorstehenden werden Merkmale verschiedener Ausführungsformen so umrissen, dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann erkennt, dass er die vorliegende Offenbarung einfach als eine Basis zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erfüllen derselben Zwecke und/oder Erhalten derselben Vorteile wie bei den hier vorgestellten Ausführungsformen nutzen kann. Der Fachmann realisiert auch, dass solche äquivalenten Konstruktionen nicht von der Idee und vom Umfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Abwandlungen hieran vornehmen kann, ohne von der Idee und vom Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/031045 [0001]

Claims (20)

  1. Ferroelektrische Speichervorrichtung, aufweisend: einen mehrschichtigen Stapel, welcher auf einem Substrat angeordnet ist und mehrere leitfähige Schichten und mehrere Dielektrikumsschichten aufweist, die abwechselnd gestapelt sind; eine Kanalschicht, welche die mehreren leitfähigen Schichten und die mehreren Dielektrikumsschichten durchdringt; eine ferroelektrische Schicht, welche zwischen der Kanalschicht und sowohl den mehreren leitfähigen Schichten als auch den mehreren Dielektrikumsschichten angeordnet ist; und mehrere Sauerstofffängerschichten, welche entlang Seitenwänden der mehreren leitfähigen Schichten angeordnet sind, wobei die mehreren Sauerstofffängerschichten die ferroelektrische Schicht lateral von den mehreren leitfähigen Schichten trennen.
  2. Ferroelektrische Speichervorrichtung nach Anspruch 1, wobei Enden der leitfähigen Schichten in Bezug auf Enden der Dielektrikumsschichten ausgespart sind.
  3. Ferroelektrische Speichervorrichtung nach Anspruch 1 oder 2, wobei Seitenwände der Sauerstofffängerschichten im Wesentlichen bündig mit Seitenwänden der Dielektrikumsschichten sind.
  4. Ferroelektrische Speichervorrichtung nach einem der vorhergehenden Ansprüche, wobei die mehreren Sauerstofffängerschichten entsprechend zwischen benachbarten der mehreren Dielektrikumsschichten angeordnet sind.
  5. Ferroelektrische Speichervorrichtung nach einem der vorhergehenden Ansprüche, wobei die Kanalschicht Zinkoxid (ZnO), Indiumwolframoxid (InWO), Indiumgalliumzinkoxid (InGaZnO), Indiumzinkoxid (InZnO), Indiumzinnoxid (ITO) oder eine Kombination davon enthält.
  6. Ferroelektrische Speichervorrichtung nach einem der vorhergehenden Ansprüche, welche ferner eine Grenzflächenschicht zwischen der Kanalschicht und der ferroelektrischen Schicht aufweist, und wobei ein Sauerstoffgehalt der Grenzflächenschicht höher ist als ein Sauerstoffgehalt der Kanalschicht.
  7. Ferroelektrische Speichervorrichtung nach Anspruch 6, wobei sich die ferroelektrische Schicht kontinuierlich und vertikal über zwei oder mehr der mehreren Sauerstofffängerschichten hinaus erstreckt.
  8. Ferroelektrische Speichervorrichtung, aufweisend: einen mehrschichtigen Stapel, welcher auf einem Substrat angeordnet ist und mehrere Gate-Elektroden-Schichten und mehrere Dielektrikumsschichten aufweist, die abwechselnd gestapelt sind; mehrere dielektrische Säulen, welche auf dem Substrat angeordnet sind und die mehreren leitfähigen Schichten und den mehrschichtigen Stapel durchdringen; eine Oxid-Halbleiterschicht, welche ein erstes Metallelement aufweist und zwischen dem mehrschichtigen Stapel und jeder der dielektrischen Säulen angeordnet ist; und eine ferroelektrische Schicht, welche zwischen der Oxid-Halbleiterschicht und dem mehrschichtigen Stapel angeordnet ist; und mehrere Sauerstofffängerschichten, welche ein zweites Metallelement aufweisen, wobei eine der mehreren Sauerstofffängerschichten zwischen der ferroelektrischen Schicht und jeder der mehreren Gate-Elektroden-Schichten angeordnet ist.
  9. Ferroelektrische Speichervorrichtung nach Anspruch 8, wobei sich die ferroelektrische Schicht kontinuierlich über zwei oder mehr der mehreren Sauerstofffängerschichten hinaus erstreckt.
  10. Ferroelektrische Speichervorrichtung nach Anspruch 8 oder 9, ferner aufweisend: zweite mehrere dielektrische Säulen, welche auf dem Substrat angeordnet sind und den mehrschichtigen Stapel durchdringen; eine zweite Oxid-Halbleiterschicht, welche zwischen dem mehrschichtigen Stapel und jeder der zweiten mehreren dielektrischen Säulen angeordnet ist; eine zweite ferroelektrische Schicht, welche zwischen der zweiten Oxid-Halbleiterschicht und dem mehrschichtigen Stapel angeordnet ist; und zweite mehrere Sauerstofffängerschichten, welche zwischen der zweiten ferroelektrischen Schicht und jeder der mehreren Gate-Elektroden-Schichten angeordnet sind.
  11. Ferroelektrische Speichervorrichtung nach einem der vorhergehenden Ansprüche 8 bis 10, wobei die mehreren Sauerstofffängerschichten ferner ein Sauerstoffelement aufweisen.
  12. Ferroelektrische Speichervorrichtung nach einem der vorhergehenden Ansprüche 8 bis 11, wobei eine Sauerstoffdichte in den mehreren Sauerstofffängerschichten in Richtung der ferroelektrischen Schicht zunimmt.
  13. Ferroelektrische Speichervorrichtung nach einem der vorhergehenden Ansprüche 8 bis 12, wobei eine freie Gibbs-Energie eines Oxids des zweiten Metallelements geringer ist als eine freie Gibbs-Energie eines Oxids des ersten Metallelements.
  14. Ferroelektrische Speichervorrichtung nach einem der vorhergehenden Ansprüche 8 bis 13, wobei die mehreren Dielektrikumsschichten benachbarte der mehreren Sauerstofffängerschichten vertikal trennen.
  15. Ferroelektrische Speichervorrichtung nach einem der vorhergehenden Ansprüche 8 bis 14, wobei eine Grenzfläche zwischen der Oxid-Halbleiterschicht und der ferroelektrischen Schicht im Wesentlichen frei von Sauerstoffatomen ist.
  16. Ferroelektrische Speichervorrichtung nach einem der vorhergehenden Ansprüche 8 bis 15, ferner aufweisend: mehrere leitfähige Säulen, welche auf dem Substrat angeordnet sind und den mehrschichtigen Stapel durchdringen, wobei jede der mehreren dielektrischen Säulen zwei leitfähige Säulen aufweist, die entlang gegenüberliegenden Seiten davon angeordnet sind.
  17. Verfahren zum Bilden einer ferroelektrischen Speichervorrichtung, umfassend: Bilden eines mehrschichtigen Stapels auf einem Substrat, wobei der mehrschichtige Stapel mehrere Dielektrikumsschichten und mehrere leitfähige Schichten aufweist, die abwechselnd gestapelt sind, und einen Graben aufweist, der ihn durchdringt; Aussparen der mehreren leitfähigen Schichten, die durch eine Seitenwand des Grabens freigelegt sind, und dadurch Bilden mehrerer Aussparungen, wobei eine der mehreren Aussparungen zwischen zwei benachbarten Dielektrikumsschichten gebildet wird; Bilden mehrerer Sauerstofffängerschichten entsprechend innerhalb der mehreren Aussparungen; Bilden einer ferroelektrischen Schicht an der Seitenwand des Grabens, wobei die ferroelektrische Schicht Seitenwände der Sauerstofffängerschichten und Seitenwände der Dielektrikumsschichten bedeckt; und Bilden einer Kanalschicht auf der ferroelektrischen Schicht.
  18. Verfahren nach Anspruch 17, wobei das Aussparen der leitfähigen Schichten das Durchführen eines lateralen Ätzverfahrens umfasst.
  19. Verfahren nach Anspruch 17 oder 18, wobei das Bilden der mehreren Sauerstofffängerschichten umfasst: Bilden eines Sauerstofffängermaterials formangepasst und kontinuierlich an einer Seitenwand des mehrschichtigen Stapels, wobei das Sauerstofffängermaterial die mehreren Aussparungen ausfüllt; und Durchführen eines Rückätzverfahrens an dem Sauerstofffängermaterial.
  20. Verfahren nach einem der vorhergehenden Ansprüche 17 bis 19, wobei durch das Rückätzverfahren das Sauerstofffängermaterial von Seitenwänden der mehreren Dielektrikumsschichten entfernt wird, während das Sauerstofffängermaterial entlang Seitenwänden der mehreren leitfähigen Schichten zurückgelassen wird.
DE102020133683.7A 2020-05-28 2020-12-16 Ferroelektrische speichervorrichtung ung verfahren zu deren herstellung Pending DE102020133683A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063031045P 2020-05-28 2020-05-28
US63/031,045 2020-05-28
US17/117,570 2020-12-10
US17/117,570 US11729986B2 (en) 2020-05-28 2020-12-10 Ferroelectric memory device and method of forming the same

Publications (1)

Publication Number Publication Date
DE102020133683A1 true DE102020133683A1 (de) 2021-12-02

Family

ID=77570619

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020133683.7A Pending DE102020133683A1 (de) 2020-05-28 2020-12-16 Ferroelektrische speichervorrichtung ung verfahren zu deren herstellung

Country Status (5)

Country Link
US (1) US20230328997A1 (de)
KR (1) KR102660218B1 (de)
CN (1) CN113380828A (de)
DE (1) DE102020133683A1 (de)
TW (1) TWI759123B (de)

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9728546B2 (en) * 2014-09-05 2017-08-08 Sandisk Technologies Llc 3D semicircular vertical NAND string with self aligned floating gate or charge trap cell memory cells and methods of fabricating and operating the same
US9847342B2 (en) * 2016-03-14 2017-12-19 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
KR102626838B1 (ko) * 2016-06-20 2024-01-18 삼성전자주식회사 수직형 비휘발성 메모리 소자 및 그 제조방법
KR102653527B1 (ko) * 2016-11-09 2024-04-01 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
KR101872122B1 (ko) * 2016-11-25 2018-06-27 연세대학교 산학협력단 3 차원 강유전체 메모리 소자 및 이의 제조 방법
US20200227727A1 (en) * 2017-01-20 2020-07-16 Weimin Li High Power Lithium Ion Battery and the Method to Form
US20180286920A1 (en) * 2017-03-28 2018-10-04 Sandisk Technologies Llc Methods and apparatus for three-dimensional nonvolatile memory
KR20180131118A (ko) * 2017-05-31 2018-12-10 에스케이하이닉스 주식회사 강유전층을 구비하는 반도체 장치 및 그 제조 방법
KR102005631B1 (ko) * 2017-09-27 2019-07-30 포항공과대학교 산학협력단 수직형 논리곱 가중치 소자 및 그의 동작 방법
CN112768453B (zh) * 2018-04-19 2024-04-26 长江存储科技有限责任公司 存储器设备及其形成方法
US11380709B2 (en) * 2018-09-04 2022-07-05 Sandisk Technologies Llc Three dimensional ferroelectric memory
JP2020047681A (ja) * 2018-09-15 2020-03-26 キオクシア株式会社 半導体記憶装置
TWI673831B (zh) * 2018-11-13 2019-10-01 財團法人工業技術研究院 鐵電記憶體及其製造方法
KR102608912B1 (ko) * 2018-12-27 2023-12-04 에스케이하이닉스 주식회사 수직형 메모리 장치 및 그 제조 방법
US10727243B1 (en) * 2019-05-09 2020-07-28 Macronix International Co., Ltd. Three dimensional memory device fabricating method and applications thereof
US11430813B2 (en) * 2019-08-15 2022-08-30 Sandisk Technologies Llc Antiferroelectric memory devices and methods of making the same
US11502104B2 (en) * 2019-08-15 2022-11-15 Sandisk Technologies Llc Antiferroelectric memory devices and methods of making the same
US11309332B2 (en) * 2019-09-12 2022-04-19 Sandisk Technologies Llc Three-dimensional memory device containing ferroelectric memory elements encapsulated by transition metal-containing conductive elements and method of making thereof
JP2021044510A (ja) * 2019-09-13 2021-03-18 キオクシア株式会社 半導体記憶装置
US11716855B2 (en) * 2020-05-28 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11515332B2 (en) * 2020-05-28 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same
US11729986B2 (en) * 2020-05-28 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same
US11527552B2 (en) * 2020-05-28 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same
US11647635B2 (en) * 2020-05-29 2023-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same
TW202213746A (zh) * 2020-05-29 2022-04-01 台灣積體電路製造股份有限公司 鐵電記憶體裝置及其形成方法
US11710790B2 (en) * 2020-05-29 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array channel regions
US11653501B2 (en) * 2021-03-05 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device, manufacturing method of the ferroelectric memory device and semiconductor chip

Also Published As

Publication number Publication date
CN113380828A (zh) 2021-09-10
TWI759123B (zh) 2022-03-21
KR102660218B1 (ko) 2024-04-23
KR20210148860A (ko) 2021-12-08
TW202145542A (zh) 2021-12-01
US20230328997A1 (en) 2023-10-12

Similar Documents

Publication Publication Date Title
DE102021100089B4 (de) Dreidimensionale speichervorrichtung und verfahren
DE102020118388A1 (de) Ferroelektrische direktzugriffsspeichervorrichtungen und verfahren
US11729986B2 (en) Ferroelectric memory device and method of forming the same
DE102020130975A1 (de) Ferroelektrische speichervorrichtung und verfahren zum bilden derselben
DE102019126565B4 (de) Mehrfachgatevorrichtung und zugehörige verfahren
DE102021102547A1 (de) Luftspalte in speicherarraystrukturen
DE102020128755A1 (de) Speicherarray-treppenstruktur
DE102021111318A1 (de) 3d-speicherarray-kontaktstrukturen
DE102020119976B4 (de) Verfahren zum herstellen einer halbleitervorrichtung
DE102020133522A1 (de) Speicherarray-source-/drain-elektrodenstrukturen
DE102020126631B4 (de) Speicheranordnungen enthaltend Dummy-Bereiche und Verfahren zu ihrer Herstellung
DE102020134397A1 (de) Speicherarraykontaktstrukturen
DE102021112578A1 (de) Speicherbauelement und verfahren zum bilden davon
DE102021100674A1 (de) Speicherarray mit epitaktischer sourceleitung und bitleitung
DE102020133751A1 (de) Speicherarray-isolationsstrukturen
DE102020123978A1 (de) Speicherarray-wortleitungsrouting
DE102021100353A1 (de) Dreidimensionale speichervorrichtung und deren herstellungsverfahren
DE102021110537A1 (de) Stapelbarer 3D-Speicher und Verfahren zum Herstellen
CN113299662A (zh) 铁电存储器器件及其形成方法
DE112016003929B9 (de) Verfahren zum Herstellen eines ferroelektrischen Direktzugriffsspeichers auf vorstrukturierter Bodenelektrode und Oxidationsbarriere
DE102022100089A1 (de) Speicheranordnungsteststruktur und verfahren zum bilden derselben
DE102021102536A1 (de) Dreidimensionales Speicherbauelement und Verfahren
DE102021101243A1 (de) Speicherblock-kanalregionen
DE102021105045A1 (de) Speichermatrix und verfahren zu deren herstellung
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027115850

Ipc: H10B0051000000

R016 Response to examination communication