TW202127570A - 具有整合的暫存區之晶圓傳送組件 - Google Patents

具有整合的暫存區之晶圓傳送組件 Download PDF

Info

Publication number
TW202127570A
TW202127570A TW110101946A TW110101946A TW202127570A TW 202127570 A TW202127570 A TW 202127570A TW 110101946 A TW110101946 A TW 110101946A TW 110101946 A TW110101946 A TW 110101946A TW 202127570 A TW202127570 A TW 202127570A
Authority
TW
Taiwan
Prior art keywords
wafer transfer
wafer
module
temporary storage
storage area
Prior art date
Application number
TW110101946A
Other languages
English (en)
Other versions
TWI773092B (zh
Inventor
約翰 達芬提
大衛 特呂塞爾
麥可 克拉吉
克利斯多福 佩納
理查 古德
克萊 孔克爾
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202127570A publication Critical patent/TW202127570A/zh
Application granted granted Critical
Publication of TWI773092B publication Critical patent/TWI773092B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Warehouses Or Storage Devices (AREA)
  • Specific Conveyance Elements (AREA)

Abstract

一種晶圓傳送組件包含第一和第二晶圓傳送模組,及在該第一和第二晶圓傳送模組之間耦接的一暫存區模組。該第一和第二晶圓傳送模組及該暫存區模組係在一單向軸上加以對齊。該暫存區模組包含第一暫存區堆疊及第二暫存區堆疊,該第一暫存區堆疊係位在該暫存區模組的第一側端,該第二暫存區堆疊係位在該暫存區模組的第二側端。該暫存區模組的第一側端定義一第一側面突出部,該第一側面突出部係嵌套在該第一和第二晶圓傳送模組與該第一和第二處理模組之間。該暫存區模組的第二側端定義一第二側面突出部,該第二側面突出部係嵌套在該第一和第二晶圓傳送模組與該第三和第四處理模組之間。該第一和第二晶圓傳送模組及該暫存區模組界定一連續的受控環境。

Description

具有整合的暫存區之晶圓傳送組件
本發明實施例關於半導體晶圓處理設備,且更具體而言,關於多腔室處理工具系統、設備及相關的方法。
在半導體製造設施(通常稱為「晶圓廠」)中的空間係有限且昂貴的,且無法輕易地增加。因此,期望有效的空間利用以獲得最大的生產率。然而,亦需對晶圓廠中的設備提供足夠的通路以進行保養及維修。
這是本發明之實施例產生的背景。
本揭示內容的實施方式提供關於緊密的群組工具結構之方法、設備及系統。該結構包含定義在晶圓傳送組件下方的維修地道,該維修地道利用在製造設施地板水平下方的底板空間。此外,該晶圓傳送組件可包含若干暫存區,該等暫存區係配置成實現緊密覆蓋區(footprint),且其提供將晶圓移動通過晶圓傳送組件的機動性。
根據本揭示內容的實施方式提供一種用於處理晶圓的群組工具系統,該系統包含:沿該群組工具系統之縱軸延伸的一晶圓傳送組件,該晶圓傳送組件具有一前端,該前端定向成朝設備前端模組(EFEM),該晶圓傳送組件具有在該前端相反側的後端;沿該晶圓傳送組件的第一橫向側面耦接至該晶圓傳送組件的至少兩個處理模組,該晶圓傳送組件係配置成將晶圓傳送進出沿該第一橫向側面耦接的該至少兩個處理模組;沿該晶圓傳送組件的第二橫向側面耦接至該晶圓傳送組件的至少兩個處理模組,該晶圓傳送組件係配置成將晶圓傳送進出沿該第二橫向側面耦接的該至少兩個處理模組;定義成在該晶圓傳送組件下方的一維修地道,該維修地道沿該群組工具系統的該縱軸從該晶圓傳送組件的該前端延伸至該後端,該維修地道具有垂直尺寸,該垂直尺寸係在該晶圓傳送組件的底面和位在該晶圓傳送組件下方的一維修地板之間加以定義,該維修地板係定義於一高度處,該高度係低於配置該群組工具系統的製造設施地板之高度。
在一些實施方式中,該晶圓傳送組件包含沿該縱軸彼此耦接的至少兩個晶圓傳送模組,其中該等晶圓傳送模組的每一者耦接至沿該晶圓傳送組件的第一橫向側面耦接之該等處理模組的其中一者,且其中該等晶圓傳送模組的每一者耦接至沿該晶圓傳送組件的第二橫向側面耦接之該等處理模組的其中一者。
在一些實施方式中,該群組工具系統進一步包含:至少兩個處理模組框架,其配置成支撐沿該晶圓傳送組件之第一橫向側面耦接的該至少兩個處理模組,且進一步配置成置放在該製造設施地板上;至少兩個處理模組框架,其配置成支撐沿該晶圓傳送組件之第一橫向側面耦接的該至少兩個處理模組,且進一步配置成置放在該製造設施地板上。
在一些實施方式中,一樓梯組係定義在該維修地道的後端,該維修地道的後端係實質定義在該晶圓傳送組件的後端下方,該樓梯組係配置成定義從該製造設施地板向下至該維修地板的途徑。
在一些實施方式中,該維修地板的高度係在該製造設施地板的高度下方大約1英尺(30.5 cm)至2英尺(61 cm)。
在一些實施方式中,該晶圓傳送組件的前側係配置成連接至控制進出該EFEM之通路的一負載鎖,其中該維修地道的前端實質延伸至該EFEM。
在一些實施方式中,該維修地道的高度係大約6英尺(183 cm)至8英尺(244 cm)。
在一些實施方式中,該維修地道的第一橫向側面提供到達沿該晶圓傳送組件的第一橫向側面耦接之該等處理模組的通路,且該維修地道的第二橫向側面提供到達沿該晶圓傳送組件的第二橫向側面耦接之該等處理模組的通路。
在一些實施方式中,該維修地道的第一橫向側面提供到達針對沿該晶圓傳送組件之第一橫向側面耦接的該等處理模組所定義的一或多個氣體箱之通路,且該維修地道的第二橫向側面提供到達針對沿該晶圓傳送組件之第二橫向側面耦接的該等處理模組所定義的一或多個氣體箱之通路。
在一些實施方式中,該維修地道係符合SEMI E72標準。
根據本揭示內容的實施方式提供一種用於處理晶圓的群組工具系統,該系統包含:沿該群組工具系統之縱軸延伸的一晶圓傳送組件,該晶圓傳送組件具有一前端,該前端定向成朝設備前端模組(EFEM),該晶圓傳送組件具有在該前端相反側的後端;沿該晶圓傳送組件的第一橫向側面耦接至該晶圓傳送組件的至少兩個處理模組,該晶圓傳送組件係配置成將晶圓傳送進出沿該第一橫向側面耦接的該至少兩個處理模組;沿該晶圓傳送組件的第二橫向側面耦接至該晶圓傳送組件的至少兩個處理模組,該晶圓傳送組件係配置成將晶圓傳送進出沿該第二橫向側面耦接的該至少兩個處理模組;定義成在該晶圓傳送組件下方的一維修地道,該維修地道沿該群組工具系統的縱軸從該晶圓傳送組件的該前端延伸至該後端,該維修地道具有垂直尺寸,該垂直尺寸係在該晶圓傳送組件的底面和位在該晶圓傳送組件下方的一維修地板之間加以定義,該維修地板係定義於一高度處,該高度係低於配置該群組工具系統的製造設施地板之高度,其中該維修地板的高度係在該製造設施地板的高度下方大約1英尺(30 cm)至2英尺(60 cm);至少兩個處理模組框架,其配置成支撐沿該晶圓傳送組件之第一橫向側面耦接的該至少兩個處理模組,且進一步配置成置放在該製造設施地板上;至少兩個處理模組框架,其配置成支撐沿該晶圓傳送組件之第一橫向側面耦接的該至少兩個處理模組,且進一步配置成置放在該製造設施地板上;其中,該維修地道的高度係由該維修地板的高度及該等處理模組框架的高度加以定義,該維修地道的高度係大約6英尺(180 cm)至8英尺(240 cm)。
在一些實施方式中,該晶圓傳送組件包含沿該縱軸彼此耦接的至少兩個晶圓傳送模組,其中該等晶圓傳送模組的每一者耦接至沿該晶圓傳送組件的第一橫向側面耦接之該等處理模組的其中一者,且其中該等晶圓傳送模組的每一者耦接至沿該晶圓傳送組件的第二橫向側面耦接之該等處理模組的其中一者。
在一些實施方式中,一樓梯組係定義在該維修地道的後端,該維修地道的後端係實質定義在該晶圓傳送組件的後端下方,該樓梯組係配置成定義從該製造設施地板向下至該維修地板的途徑。
在一些實施方式中,該晶圓傳送組件的前側係配置成連接至控制進出該EFEM之通路的一負載鎖,其中該維修地道的前端實質延伸至該EFEM。
在一些實施方式中,該維修地道的第一橫向側面提供到達沿該晶圓傳送組件的第一橫向側面耦接之該等處理模組的通路,且該維修地道的第二橫向側面提供到達沿該晶圓傳送組件的第二橫向側面耦接之該等處理模組的通路。
在一些實施方式中,該維修地道的第一橫向側面提供到達針對沿該晶圓傳送組件之第一橫向側面耦接的該等處理模組所定義的一或多個氣體箱之通路,且該維修地道的第二橫向側面提供到達針對沿該晶圓傳送組件之第二橫向側面耦接的該等處理模組所定義的一或多個氣體箱之通路。
在一些實施方式中,該維修地道係符合SEMI E72標準。
根據本揭示內容的實施方式提供一種晶圓傳送組件,其包含:一第一晶圓傳送模組;一第二晶圓傳送模組;一暫存區模組,在該第一及第二晶圓傳送模組之間加以耦接,使得該第一晶圓傳送模組、該第二晶圓傳送模組及該暫存區模組係在一單向軸上加以對齊,該暫存區模組具有一第一暫存區堆疊及一第二暫存區堆疊,其中該第一暫存區堆疊係位於配置在該單向軸的第一側上之該暫存區模組的第一側端,且其中該第二暫存區堆疊係位於配置在該單向軸的第二側上之該暫存區模組的第二側端;其中,配置在該單向軸的第一側上之該第一晶圓傳送模組的第一側係配置成耦接至一第一處理模組;其中,配置在該單向軸的第一側上之該第二晶圓傳送模組的第一側係配置成耦接至一第二處理模組;其中,該暫存區模組的第一側端定義一第一側面突出部,該第一側面突出部係嵌套在該第一及第二晶圓傳送模組與該第一及第二處理模組之間;其中,配置在該單向軸的第二側上之該第一晶圓傳送模組的第二側係配置成耦接至一第三處理模組;其中,配置在該單向軸的第二側上之該第二晶圓傳送模組的第二側係配置成耦接至一第四處理模組;其中,該暫存區模組的第二側端定義一第二側面突出部,該第二側面突出部係嵌套在該第一及第二晶圓傳送模組與該第三及第四處理模組之間;其中該第一晶圓傳送模組、該第二晶圓傳送模組及該暫存區模組係配置成界定一連續的受控環境。
在一些實施方式中,該晶圓傳送組件係配置成針對一晶圓定義一傳送途徑:從該第一或第三處理模組的其中一者至該第一晶圓傳送模組,至該第一或第二暫存區堆疊的其中一者,至該第二晶圓傳送模組,至該第二或第四處理模組的其中一者。
在一些實施方式中,該第一及第二暫存區堆疊的每一者係配置成儲存大約5至10個晶圓。
在一些實施方式中,該受控環境係由一真空受控環境加以界定。
在一些實施方式中,該第一及第二暫存區堆疊定義複數晶圓儲存槽,其中該等晶圓儲存槽的其中至少一者係配置成在由該晶圓傳送組件界定的該受控環境下儲存一蓋晶圓。
在一些實施方式中,該晶圓傳送組件進一步包含:一第二暫存區模組,其耦接至該第二晶圓傳送模組且沿該單向軸加以對齊,該第二暫存區模組具有一第三暫存區堆疊,該第三暫存區堆疊係位於配置在該單向軸的第一側上之該第二暫存區模組的第一側端,該第二暫存區模組具有一第四暫存區堆疊,該第四暫存區堆疊係位於配置在該單向軸的第二側上之該第二暫存區模組的第二側端。
在一些實施方式中,該第二暫存區模組的第一側端定義一第三側面突出部,且其中該第二暫存區模組的第二側端定義一第四側面突出部。
在一些實施方式中,該晶圓傳送組件進一步包含:一第三晶圓傳送模組,其耦接至該第二暫存區模組且沿該單向軸加以對齊,其中,配置在該單向軸的第一側上之該第三晶圓傳送模組的第一側係配置成耦接至一第五處理模組,且其中,配置在該單向軸的第二側上之該第三晶圓傳送模組的第二側係配置成耦接至一第六處理模組。
在一些實施方式中,該第三側面突出部係嵌套在該第二及第三晶圓傳送模組與該第二及第五處理模組之間;及其中該第四側面突出部係嵌套在該第二及第三晶圓傳送模組與該第四及第六處理模組之間。
在一些實施方式中,該晶圓傳送組件係在一維修地道上方加以定義,該維修地道係從該晶圓傳送組件的前端延伸至該晶圓傳送組件的後端。
根據本揭示內容的實施方式提供一種晶圓傳送組件,其包含:一外罩;配置在該外罩內的一第一晶圓傳送機器人;配置在該外罩內的一第二晶圓傳送機器人;配置在該外罩內的第一及第二暫存區堆疊,其中,該第一及第二暫存區堆疊係設置在該第一及第二晶圓傳送機器人之間;其中,一第一介面係沿該外罩的第一側加以定義且係配置成耦接至一第一處理模組;其中,一第二介面係沿該外罩的第一側加以定義且係配置成耦接至一第二處理模組;其中,一第一側面突出部係沿該外罩的第一側在該第一及第二介面之間加以定義,該第一側面突出部定義該第一暫存區堆疊的位置且係嵌套在該第一及第二晶圓傳送機器人與該第一及第二處理模組之間;其中,一第三介面係沿該外罩的第二側加以定義且係配置成耦接至一第三處理模組;其中,一第四介面係沿該外罩的第二側加以定義且係配置成耦接至一第四處理模組;其中,一第二側面突出部係沿該外罩的第二側在該第三及第四介面之間加以定義,該第二側面突出部定義該第二暫存區堆疊的位置且係嵌套在該第一及第二晶圓傳送機器人與該第三及第四處理模組之間;其中,該晶圓傳送組件定義一連續的受控環境。
在一些實施方式中,該晶圓傳送組件係配置成針對一晶圓定義一傳送途徑:從該第一或第三處理模組的其中一者至該第一晶圓傳送機器人,至該第一或第二暫存區堆疊的其中一者,至該第二晶圓傳送機器人,至該第二或第四處理模組的其中一者。
在一些實施方式中,該晶圓傳送組件進一步包含:用於耦接至一真空源的一連接器,其中,該連續的受控環境係由一真空控制的環境加以定義。
在一些實施方式中,該第一暫存區堆疊的一上部定義第一複數晶圓儲存槽,該第一暫存區堆疊的上部具有界定在該第一複數晶圓儲存槽的每一者之間的一或多個隔片;及其中,該第一暫存區堆疊的一下部定義第二複數晶圓儲存槽,該第一暫存區堆疊的下部不具有界定在該第二複數晶圓儲存槽的每一者之間的隔片。
在一些實施方式中,該第一暫存區堆疊的該下部係配置成儲存一或多個蓋晶圓或陳化處理(seasoning)晶圓。
在一些實施方式中,該第一及第二暫存區堆疊的每一者係配置成儲存大約5至10個晶圓。
在一些實施方式中,該晶圓傳送組件係在一維修地道上方加以定義,該維修地道係從該晶圓傳送組件的前端延伸至該晶圓傳送組件的後端。
根據本揭示內容的實施方式提供一種負載鎖組件,其包含:配置成在一設備前端模組(EFEM)與一晶圓傳送模組之間加以連接的一第一負載鎖,該EFEM係維持在實驗室氛圍條件下,該晶圓傳送模組係維持在真空條件下,該晶圓傳送模組係一晶圓傳送組件的一部分,該晶圓傳送組件係配置成將晶圓傳送往返連接至該晶圓傳送組件的一或多個處理模組;配置在該第一負載鎖上方的一第二負載鎖,該第二負載鎖係配置成在該EFEM與該晶圓傳送模組之間加以連接;配置在該第二負載鎖上方的一後處理模組,該後處理模組係配置成在一已處理的晶圓上執行一後處理操作,該已處理的晶圓已在連接至該晶圓傳送組件之該等處理模組的其中至少一者中加以處理,該後處理模組係配置成連接至該晶圓傳送模組。
在一些實施方式中,該後處理模組係疊在該第二負載鎖上方,且其中,該第二負載鎖係疊在該第一負載鎖上方。
在一些實施方式中,該第一負載鎖係配置成用於從該EFEM進入該晶圓傳送模組之晶圓移動;其中,該第二負載鎖係配置成用於從該晶圓傳送模組移至該EFEM之晶圓移動。
在一些實施方式中,該後處理模組係配置成在該已處理的晶圓上執行一剝離製程或一鈍化製程。
在一些實施方式中,該負載鎖組件進一步包含:毗鄰該第一負載鎖設置的一第三負載鎖,該第三負載鎖係配置成在該EFEM與該晶圓傳送模組之間加以連接;配置在該第三負載鎖上方且毗鄰該第二負載鎖的一第四負載鎖,該第四負載鎖係配置成在該EFEM與該晶圓傳送模組之間加以連接;配置在該第四負載鎖上方的一第二後處理模組,該第二後處理模組係配置成在一已處理的晶圓上執行一後處理操作,該第二後處理模組係配置成連接至該晶圓傳送模組。
在一些實施方式中,該第二後處理模組係疊在該第四負載鎖上方,且其中,該第四負載鎖係疊在該第三負載鎖上方。
在一些實施方式中,該第一及第二負載鎖係配置成用於從該EFEM進入該晶圓傳送模組之晶圓移動;其中,該第三及第四負載鎖係配置成用於從該晶圓傳送模組進入該EFEM之晶圓移動。
根據本揭示內容的實施方式提供一種系統,該系統包含:一設備前端模組(EFEM);一晶圓傳送組件,該晶圓傳送組件包含一晶圓傳送模組,該晶圓傳送模組係配置成連接至一第一處理模組及一第二處理模組,該晶圓傳送模組包含用於將晶圓移動往返該第一及第二處理模組的一機器人;在該EFEM與該晶圓傳送模組的前側之間連接的一第一負載鎖及一第二負載鎖,該第一及第二負載鎖係配置成用於從該EFEM移至該晶圓傳送模組之晶圓移動;在該EFEM與該晶圓傳送模組的前側連接的一第三負載鎖及一第四負載鎖,該第三及第四負載鎖係配置成用於從該晶圓傳送模組移至該EFEM之晶圓移動。
在一些實施方式中,該第一及第二負載鎖係以一堆疊的配置加以設置;其中,該第三及第四負載鎖係毗鄰該第一及第二負載鎖以一堆疊的配置加以設置。
在一些實施方式中,該系統進一步包含:連接至該晶圓傳送模組之前側的一第一後處理模組,該第一後處理模組係配置成在一已處理的晶圓上執行一後處理操作;連接至該晶圓傳送模組之前側的一第二後處理模組,該第二後處理模組係配置成在一已處理的晶圓上執行一後處理操作。
在一些實施方式中,該第一或第二處理模組係配置成執行一蝕刻操作,且其中,該第一或第二後處理模組係配置成在執行該蝕刻操作之後執行一剝離操作。
在一些實施方式中,該機器人係配置成將一晶圓從該第一負載鎖傳送至該第一處理模組以執行該蝕刻操作,接著將該晶圓從該第一處理模組傳送至該第一後處理模組以執行該剝離操作,接著將該晶圓從該第一後處理模組傳送至該第三負載鎖以離開該晶圓傳送組件。
根據本揭示內容的實施方式提供一種用於處理晶圓的方法,該方法包含:將一晶圓從一設備前端模組(EFEM)通過一第一負載鎖傳送進一晶圓傳送組件,該第一負載鎖係在該EFEM與該晶圓傳送組件之間加以連接;將該晶圓移進一處理模組,該處理模組係配置成在該晶圓上執行一製程操作,該處理模組係連接至該晶圓傳送組件;在執行該製程操作之後,將該晶圓移進一後處理模組,該後處理模組係配置成在該晶圓上執行一後處理操作,其中,該後處理模組係連接至該晶圓傳送組件且以與該第一負載鎖垂直堆疊的配置加以排列;在執行該後處理操作之後,將該晶圓從該後處理模組通過連接至該晶圓傳送組件的一第二負載鎖移至該EFEM,該第二負載鎖係在該EFEM與該晶圓傳送模組之間加以連接。
在一些實施方式中,該製程操作係一蝕刻操作,且其中該後處理操作係一剝離操作。
在一些實施方式中,該第二負載鎖係以與該第一負載鎖垂直堆疊的配置加以排列。
在一些實施方式中,該第二負載鎖係毗鄰由該第一負載鎖及該後處理模組所定義之該垂直堆疊的配置加以設置。
本揭示內容的實施方式提供關於群組工具結構的方法、設備及系統,該群組工具結構實現一緊密覆蓋區(footprint),其具有呈定義在晶圓傳送組件下方之維修地道形式之與國際半導體設備與材料產業協會(SEMI)相容的通路空間(SEMI-compliant access space)。該晶圓傳送組件進一步可包含幾個暫存區,該等暫存區係維持在與其餘晶圓傳送組件相同的受控環境(例如真空條件)下,且提供很大的機動性將晶圓移動通過晶圓傳送組件。應理解本發明實施例可以多種方式(諸如製程、設備、系統、裝置、材料或方法)加以實施。若干實施例係描述於下。
圖1A根據本揭示內容的實施方式概念性地說明用於處理晶圓的群組工具之一部分的橫剖面。在所說明的實施方式中,製造設施地板116係加以顯示,設備可配置在該製造設施地板116之上。製造設施地板116係定義為在下方底板(subfloor)120之上所支撐之升高的地板。製造設施地板116可由一系列的地磚加以界定,該一系列的地磚可為有孔的以允許氣流穿過地磚而自晶圓廠環境移除微粒。該等地磚係由在底板120之上的支柱118加以支撐。在一些實施方式中,在製造設施地板和底板120之間的距離係大約2英尺(大約60公分)。在一些實施方式中,在製造設施地板和底板120之間的距離係在大約1.5至2.5英尺(大約45至75公分)的範圍內。在一些實施方式中,在製造設施地板和底板120之間的距離係在大約1至4英尺(大約0.3至12公尺)的範圍內。
在一些實施方式中,底板120係由混凝土華夫板(waffle slab)加以界定。定義在製造設施地板116和底板120之間的底板空間121可用於各種設施管線(諸如處理氣體管線、真空管線、電氣/RF的管線/饋送件、數據電纜、液體供給管線等)的通道。應理解此等管線的通道可沿底板120且亦可延伸穿過底板120至下方的地板,允許連接至位在下方地板的支撐設備。
處理模組100和106係配置在製造設施地板116之上一提高的高度。更具體而言,在所說明的實施方式中,處理模組100和106係分別由處理模組框架108和110加以支撐。各處理模組框架係配置成將其各自的處理模組提高,且因而在處理模組下方提供下層空間以容納處理模組操作所需的各種設施及設備。藉由將設施設在處理模組下方,操作所需的水平空間係節省的,其允許在給定的群組工具系統之內將處理模組設置得彼此更接近,且因而亦允許毗鄰的群組工具系統彼此更接近地加以設置。
在一些實施方式中,在由處理模組框架所界定之處理模組下方的空間係配置成具有用以容納具有從處理模組向下延伸之預定垂直長度的RF饋送件之最小高度。該RF饋送件結構可連接至夾盤,該夾盤係配置成在處理模組的腔室之內上下移動,因而亦將RF饋送件結構上下移動,且因此處理模組框架係配置成提供足夠的高度以滿足此垂直移動。
隨著處理模組及群組工具系統係更緊密地聚集在一起,在製造設施的一給定區域中,通路空間相對於設備件(equipment pieces)及群組工具系統的數量變得更加有限。此可能是有問題的,因為有限的設備通路使維修或修復操作更難以執行,且可能需要額外的步驟以獲得至設備所需的通路,諸如:拆卸或移動設備的一部分或整件設備。此等額外的步驟將增加停機時間,且因而降低原本藉由緊密覆蓋區結構實現的一些產量效益。
為了解決這些問題,根據本揭示內容的實施方式,維修地道124係在群組工具之晶圓傳送模組(包含晶圓傳送模組102)下方的空間加以設置。維修地道124係進一步由在晶圓傳送模組102下方的維修地板122加以界定。維修地板122相對於製造設施地板116係降低的地板,且係在製造設施地板之下但在底板120之上的一高度加以界定。維修地板122因而利用原本存在於製造設施地板116和底板120之間的底板空間,且利用此空間以針對維修地道124提供額外的高度。維修地道124係因而定義在晶圓傳送模組102和維修地板122之間,且具有足夠平均身高(大約5至6英尺(大約150至180公分)高)之成人站立的高度H1 。在一些實施方式中,高度H1 係在大約2至8英尺(大約0.6至2.4公尺)的範圍內。在一些實施方式中,高度H1 係在大約5.5至7.5英尺(大約1.7至2.3公尺)的範圍內。在一些實施方式中,高度H1 係大約7英尺(大約2.1公尺)。
應理解如根據本揭示內容之實施方式定義的維修地道124及群組工具結構係符合管理半導體製造設備之空間需求的國際半導體設備與材料產業協會(SEMI)E72標準。
在所說明的實施方式中,晶圓傳送模組102之一部分的機器人致動器104係為了本揭示內容的完整性加以顯示。如圖所示的機器人致動器104係設想為自晶圓傳送模組102的主體向下延伸之約圓柱狀的結構。在毗鄰機器人致動器104(在機器人致動器的前、後、及側面)的情況下,維修地道空間的高度係自維修地板122延伸至晶圓傳送模組102的底部,提供足以具有平均身高之成人無阻礙地站立之高度H1
維修地道124係定義成在處理模組100和106之間以及在處理模組框架108和110之間。在一些實施方式中,分別用於處理模組100及106的氣體箱112及114係沿維修地道124的兩側加以設置。維修地道124可因而具有寬度W1 。根據一些實施方式,寬度W1 係在大約2至6英尺(大約0.6至1.8公尺)的範圍內。在一些實施方式中,寬度W1 係在大約2.5到4英尺(大約0.7至1.3公尺)的範圍內。在一些實施方式中,寬度W1 係在大約3至3.5英尺(大約0.9至1.1公尺)的範圍內。處理模組框架之面向內的部分定義維修地道的側壁。
維修地道124提供自群組工具系統的內部區域至群組工具系統之設備的通路。此通路係重要的,因為處理模組及群組工具系統的其他設備係彼此非常接近地加以設置以降低系統的覆蓋區。更具體而言,維修地道124提供至晶圓傳送模組102底面的通路,以及提供至處理模組100及106之面向內之側面的通路。氣體箱112及114提供至氣體管線的通路,該等氣體管線分別用於處理模組100及106。氣體箱112及114係沿維修地道的側壁加以定義,且亦可從維修地道124進入。
維修地道的內部高度H1 係由系統各種元件的垂直尺寸加以定義,該等垂直尺寸包含在製造設施地板116水平下方之維修地板122的深度D1 ,以及處理模組框架108及110係配置成將處理模組100及106所提高的高度H2 。維修地板的可用深度係取決於底板之上的晶圓廠地板高度,即高度H1 。因此,在各種實施方式中,維修地板的深度D1 之範圍可從0至H1 的數值。在一些實施方式中,深度D1 的範圍從大約0英尺至4英尺(大約0至60公分)。在一些實施方式中,深度D1 的範圍從大約1英尺至2英尺(大約30至60公分)。在一些實施方式中,深度D1 的範圍從大約1.5英尺至1.8英尺(大約45至55公分)。
在一些實施方式中,處理模組框架的高度H2 係在於大約2至6英尺(大約0.6至1.8公尺)的範圍內。在一些實施方式中,高度H2 係在大約3至6英尺(大約0.9至1.8公尺)的範圍內。在一些實施方式中,高度H2 係在大約2.5至4.5英尺(大約0.8至1.4公尺)的範圍內。在一些實施方式中的維修地道124係定義成在晶圓傳送組件下方,該晶圓傳送組件係由一個以上晶圓傳送模組(諸如晶圓傳送模組102)加以界定。在一些實施方式中,維修地道從在設備前端模組(EFEM)處定義的前端縱向延伸至由最後的晶圓傳送模組之後側定義的後端。維修地道124的維修地板122可概念性地理解為界定位在晶圓傳送組件下方的一個坑,以提供足夠的垂直高度而允許人員在該坑中無阻礙地站立。
進入維修地道124的入口係從對製造設施地板開通之維修地道的後端加以設置。為了提供自維修地道124的入口及出口,梯子或一組台階/樓梯可在維修地道124的後端加以設置,其定義從維修地板122上至製造設施地板116的途徑。在一些實施方式中,一種可折疊的梯子可加以設置,其當展開時界定台階,而當未使用時亦可加以折疊。以此方式,入口/出口的台階係當需要時加以提供,但當不需要時可加以收藏,且因而不佔用維修地板空間,以滿足標準化之維修地板空間需求。在一些實施方式中,當折疊時,該梯子可配置成阻擋進入維修地道的入口,提高系統的安全性及避免人員意外跌落維修地道。
圖1B根據本揭示內容的實施方式說明群組工具系統的透視圖。在所說明的實施方式中,維修地道124的後端開口係可見的。如圖所示,維修地道124係定義成在晶圓傳送組件209下方,從定向成朝EFEM 200之晶圓傳送組件209的前端延伸至前端對向側之晶圓傳送組件209的後端。
圖1C根據本揭示內容的實施方式說明群組工具系統的透視圖。在所說明的實施方式中,晶圓廠高度和次晶圓廠高度係加以顯示,其中用於處理模組之操作的支撐設備係在次晶圓廠的高度加以設置。維修地道124的前端128在所說明的實施方式中係可見的。如根據本揭示內容的實施方式所示,維修地道124的前端128可延伸至EFEM 200。
圖1D根據本揭示內容的實施方式說明群組工具系統的橫剖面圖。所說明的實施方式再次顯示晶圓廠高度和次晶圓廠高度之間的關係。所描繪的人員係顯示為大約成比例,表示在維修地道124中人員行動的可用空間。
圖1E根據本揭示內容的實施方式概念性地說明用於處理晶圓之群組工具之一部分的橫剖面。在圖1E的實施方式中,維修地道124的地板係定義在晶圓廠地板116的高度。在一些實施方式中,維修地道124的地板可由晶圓廠地板116加以定義。但在其他實施方式中,雖然維修地道124的地板係定義在晶圓廠地板116的高度,該維修地道124的地板可能具有與周圍晶圓廠地板116相比不同的結構。應理解處理模組框架108及110的高度H2 與圖1A的實施方式相比係較高。在參照圖1E顯示的實施方式中,其中維修地道124的地板係定義在晶圓廠地板116的高度,處理模組框架之高度H2 的範圍通常從約2英尺至6英尺(大約0.6至1.8公尺)。在一些實施方式中,高度H2 的範圍從約4.5英尺至6.5英尺(大約1.4至2公尺)。在一些實施方式中,高度H2 的範圍從約4英尺至7英尺(大約1.2至2.1公尺)。
圖1F根據本揭示內容的實施方式說明用於處理晶圓之群組工具的剖視圖(沿縱向之前到後的軸垂直剖切)。維修地道124的內部係因而在所描繪的圖中加以顯示。氣體箱114、134及136提供分別饋送至處理模組106、214及220之氣體管線的通路。該等氣體箱係在將空氣自晶圓廠排出之洗滌排氣管132的上方加以設置。在所說明的實施方式中,排氣管132的一個側蓋係加以移除。氣體箱包含孔137,以允許空氣從晶圓廠流經孔137而進入排氣管132。圖中的虛線箭頭說明氣流通過氣體箱並進入排氣管的方向,用於最後傳送及通過晶圓廠之底板的移除。
此外,在一些實施方式中,氣體管線138係在排氣管132的內部加以配置。藉由使氣體管線沿排氣管配置,在晶圓廠中節省空間,允許排氣管提供額外的功能。此外,藉由將氣體管線配置在排氣管中,由於氣體管線洩漏造成之晶圓廠內污染的可能性係加以最小化,因為任何洩漏的氣體物種係經由排氣管立即排出。因為污染的風險係加以最小化,那麼可使用較低等級的氣體管線材料(與原本針對配置在排氣管之外部的氣體管線所使用的材料相比),因而降低成本。
圖2根據本揭示內容的實施方式說明群組工具系統的俯視圖,其說明系統各種設備件之概念上的剖面。群組工具系統的前面係由設備前端模組(EFEM)200加以定義,該設備前端模組(EFEM)200包含複數個負載端口202a、202b、202c及202d,該等負載端口分別用於接收複數個晶圓傳送容器204a、204b、204c及204d。在一些實施方式中,晶圓傳送容器係前開式晶圓傳送盒(FOUP, front opening unified pods)。EFEM 200可進一步包含暫存區工作站206a、206b及206c。EFEM 200及其暫存區工作站206a、206b及206c可在受控制的氛圍條件下或在大氣條件下加以操作。
連接至EFEM 200的後面係負載鎖208,其定義進入晶圓傳送組件209的通道。該晶圓傳送組件係由複數個晶圓傳送模組102、212及218加以界定,該等晶圓傳送模組係加以串聯連接且從負載鎖208向後延伸。晶圓傳送模組的每一者控制進入鄰近的處理模組之入口及離開鄰近處理模組的出口。舉例而言,晶圓傳送模組102係配置成將晶圓移入鄰近的處理模組100及106或自鄰近的處理模組100及106加以移出。晶圓傳送模組212係配置成將晶圓移入鄰近的處理模組210及214或自鄰近的處理模組210及214加以移出。晶圓傳送模組218係配置成將晶圓移入鄰近的處理模組216及220或自鄰近的處理模組216及220加以移出。
晶圓傳送模組的每一者包含一機器人(機器人晶圓搬運器),該機器人係配置成嚙合並拾取晶圓且將其傳送。在所說明的實施方式中,晶圓傳送模組102、212及218分別包含機器人222、224及226。該等機器人可具有配置成與晶圓嚙合的末端執行器。因此,晶圓傳送模組的機器人係配置成在晶圓傳送組件209之內移動晶圓,且進一步將晶圓移入鄰近的處理模組或自鄰近的處理模組加以移出。
在所說明的實施方式中,晶圓傳送組件209係由晶圓傳送模組102、212及218加以界定。晶圓傳送組件209從負載鎖208向後延伸至最後的晶圓傳送模組218的後側。如前所述,維修地道124係定義成在晶圓傳送組件209下方。在一些實施方式中,維修地道124自晶圓傳送組件209的前端(由晶圓傳送模組102的前端加以定義)延伸至晶圓傳送組件209的後端(由晶圓傳送模組218的後端加以定義)。在一些實施方式中,維修地道124在其前端延伸至EFEM 200。維修地道124提供至晶圓傳送模組102、212及218之底面的通路,以例如維修該等晶圓傳送模組的機器人222、224及226。
晶圓傳送組件209進一步包含閘閥,該等閘閥控制在一給定晶圓傳送模組和毗鄰的處理模組之間的開口。在所說明的實施方式中,閘閥228控制在晶圓傳送模組102和處理模組100之間的開口;閘閥230控制在晶圓傳送模組102和處理模組106之間的開口;閘閥232控制在晶圓傳送模組212和處理模組210之間的開口;閘閥234控制在晶圓傳送模組212和處理模組214之間的開口;閘閥236控制在晶圓傳送模組218和處理模組216之間的開口;閘閥238控制在晶圓傳送模組218和處理模組220之間的開口。
一給定的閘閥可加以開啟以允許藉由相對應的晶圓傳送模組將晶圓傳送進出毗鄰的處理模組。該閘閥可加以關閉以隔離該毗鄰的處理模組,例如用於處理已被放進處理模組的晶圓或用於執行需將處理模組與晶圓傳送模組隔離的任何其他操作。在一些實施方式中,閘閥228、230、232、234、236及238係整合進晶圓傳送組件209。藉由將該等閘閥整合進晶圓傳送組件209,該晶圓傳送組件的整體覆蓋區係加以減少(與具有非整合式閘閥的晶圓傳送組件相比)。晶圓傳送組件209的內部環境係加以控制,且可限定為真空環境或受控制的氛圍環境。在一些實施方式中,晶圓傳送組件209係填充惰性氣體。在各種實施方式中,晶圓傳送組件209係在從大氣至真空條件的壓力條件下加以操作。根據本揭示內容的一些實施方式,真空條件可由低於約760托的內部壓力加以界定。根據本揭示內容的一些實施方式,真空條件可由低於約10托的內部壓力加以界定。在一些實施方式中,真空條件係由約1x10^-9托至約1托的內部壓力加以界定。
繼續參照圖2,根據本揭示內容的實施方式,複數個暫存區堆疊係定義在晶圓傳送組件209內。暫存區堆疊240和242係定義在晶圓傳送模組102和212之間。暫存區堆疊244和246係定義在晶圓傳送模組212和218之間。暫存區堆疊248和250係定義在晶圓傳送模組218的後側。應理解暫存區堆疊係定義在晶圓傳送組件209之內,且因此,該等暫存區堆疊與晶圓傳送組件共享相同的受控環境。此提供優於習知系統的優點,這是因為晶圓不需離開晶圓傳送組件209的受控環境以被儲存。由於晶圓可在晶圓傳送組件209內加以暫存,該等晶圓係因而立即由晶圓傳送模組取得以傳送至處理模組或其他地方。
在一些實施方式中,一給定的暫存區堆疊係配置成具有儲存大約2至20個晶圓的容積。在一些實施方式中,一給定的暫存區堆疊係配置成為具有儲存大約5至15個晶圓的容積。在一些實施方式中,一給定的暫存區堆疊可具有儲存大約5至10個晶圓的容積。在一些實施方式中,一給定的暫存區堆疊可具有儲存大約8個晶圓的容積。每個暫存區堆疊定義複數個儲存槽,該等儲存槽係以垂直堆疊的排列加以定義。該等儲存槽可具有定義在其間的隔片或隔板,該等隔片或隔板將在晶圓堆疊中的一給定晶圓與其他晶圓隔離。
如上所述,暫存區堆疊係在毗鄰的晶圓傳送模組之間加以設置。此外,暫存區堆疊係沿晶圓傳送組件的橫向側面加以設置,其實現晶圓傳送組件的緊密覆蓋區,因為暫存區堆疊係設置成利用存在於毗鄰的晶圓傳送模組與連接至該等晶圓傳送模組之毗鄰的處理模組之間的空間。更具體而言,暫存區堆疊的中心軸係自由晶圓傳送模組之機器人的中心旋轉軸定義的中間平面橫向偏移。一給定暫存區堆疊的中心軸係定義成當晶圓係儲存在暫存區堆疊中時延伸穿過晶圓中心的垂直軸。而機器人的中心旋轉軸係由前至後的排列彼此對齊而定義該中間平面。單向軸270可沿此中間平面加以定義,且自EFEM 200向後延伸。如下面進一步所討論,定義暫存區堆疊的暫存區模組可加以設置。晶圓傳送模組及暫存區模組係以單向軸270加以對齊。
繼續參照圖2,暫存區堆疊242係在晶圓傳送模組102和212與處理模組106和214之間嵌套的位置中加以定義。容納及定義暫存區堆疊242的位置之晶圓傳送組件209的橫向側面突出部252橫向延伸超出橫向側面部分256和254,其分別與毗鄰的處理模組214及106加以介接。晶圓傳送組件的其他橫向側面突出部容納及定義其他暫存區堆疊的位置,且係相對於其相應的處理模組類似地加以配置。舉例而言,橫向側面突出部262係嵌套在晶圓傳送模組212和218與處理模組214和220之間。該橫向側面突出部262係配置成定義暫存區堆疊246的位置。
在一些實施方式中,晶圓傳送組件係加以配置使得當晶圓位在暫存區堆疊上時,晶圓的外緣至少橫向延伸至由毗鄰的閘閥之開口所定義的平面。在一些實施方式中,當晶圓位在暫存區堆疊上時,晶圓的外緣橫向延伸超出此平面。暫存區堆疊橫向設置得越遠,毗鄰的晶圓傳送模組彼此可設置得越接近;然而,晶圓傳送組件209則將變得更寬。
就晶圓傳送組件的結構概念而言,暫存區堆疊的位置係加以橫向向外設置,其允許晶圓傳送模組配置成彼此更接近。此減少晶圓傳送組件由前至後的總長度。在一些實施方式中,對於具有三個晶圓傳送模組及四個暫存區堆疊(沒有選用性的暫存區堆疊248及250)的晶圓傳送組件而言,晶圓傳送組件之由前至後的長度係大約10至11英尺(大約3至3.3公尺)。在一些實施方式中,對於具有兩個晶圓傳送模組及二或四個暫存區堆疊的晶圓傳送組件而言,晶圓傳送組件之由前至後的長度係大約6到8英尺(大約1.8至2.4公尺)。
考慮到暫存區堆疊的布局,且更具體而言考慮到容納暫存區堆疊之晶圓傳送組件之橫向側面部分的突出部,最接近暫存區堆疊之處理模組的角區係加以切除或圓化。繼續參照圖2的例子,處理模組106的角區258係加以切除以容納晶圓傳送組件的橫向側面突出部252。類似地,處理模組214的角區260亦係加以切除以容納橫向側面突出部252。最接近暫存區堆疊之另外處理模組的角區係類似地配置成容納晶圓傳送組件之橫向側面部分的橫向側面突出部,其係定義為容納暫存區堆疊。
應理解處理模組之切除的角區允許處理模組配置成比原本在晶圓傳送組件209中之暫存區堆疊的可能給定布局情況下更靠近其各自的晶圓傳送模組。此降低群組工具系統的橫向空間需求,因而在製造設施中提供更有效的空間利用。總之,暫存區堆疊的布局、晶圓傳送組件之橫向側面的配置、及處理模組之角區的切除配置,一起提供非常緊密的群組工具結構,就在受控環境之內的晶圓搬運、儲存及傳送而言,該群組工具結構亦提供很大的機動性。
圖3A係根據本揭示內容的實施方式之晶圓傳送組件的透視圖。在所說明的實施方式中,晶圓傳送組件209係由兩個部分所組成,該兩個部分如圖所示係彼此組裝以定義晶圓傳送組件209。晶圓傳送組件209的第一部分係定義成包含晶圓傳送模組102和212及暫存區堆疊240和242,該暫存區堆疊240和242係在晶圓傳送模組102和212之間加以定義。該第一部分可自晶圓傳送模組102和212與定義暫存區堆疊240和242的暫存區模組(配置在晶圓傳送模組之間)加以結合而模組化組裝。應理解晶圓傳送模組及暫存區模組係在單向軸270上加以對齊。晶圓傳送組件209的第二部分係定義成包含晶圓傳送模組218及暫存區堆疊244和246,該暫存區堆疊244和246在晶圓傳送模組212和218之間加以定義。第二暫存區模組可配置成定義暫存區堆疊244和246,其中晶圓傳送模組218及第二暫存區模組係在單向軸270上加以對齊。雖然在圖3A中未顯示,一選用性的第三部分可附接至第二部分的後端,該第三部分係定義暫存區堆疊248及250的(第三)暫存區模組,且其係亦在單向軸270上加以對齊。
因此顯示及描述的模組化配置允許晶圓傳送組件配置成具有二或三個晶圓傳送模組,且具有一、二、或三對暫存區堆疊。在一基本配置中,晶圓傳送組件可定義成僅包含第一部分,且因而具有兩個晶圓傳送模組及兩個暫存區堆疊。在一些實施方式中,該基本配置係設計成適合放在符合SEMI E72的電梯之內,從而協助移入至製造設施。在另一配置中,暫存區模組可增加至該基本配置以增加兩個額外的暫存區堆疊(總共四個暫存區堆疊)。在另一配置中,上述第二部分如圖3A所示可連接至第一部分以定義晶圓傳送組件,共具有三個晶圓傳送模組及四個暫存區堆疊。在又另一配置中,可接著增加暫存區模組以提供具有三個晶圓傳送模組及六個暫存區堆疊的晶圓傳送組件。
在所說明的實施方式中,第一蓋板300定義晶圓傳送組件209之第一部分的頂部。該第一蓋板300包含窗口門戶302及304,該窗口門戶302及304允許晶圓傳送組件內部的目視檢查且可加以開啟以提供至該內部的通路。第二蓋板306定義晶圓傳送組件209之第二部分的頂部。該第二蓋板306亦包含窗口門戶308,該窗口門戶308類似地允許內部的目視檢查且可加以開啟以提供至該內部的通路。
晶圓傳送組件209因而描述成由幾個模組化元件所構成。晶圓傳送組件的模組化組件提供配置的容易性以適合特殊的群組工具設置,及亦協助個別模組的維修及/或更換。此外,模組的組裝/拆卸協助從一給定的製造設施位置移入或移出。應理解當完全組裝時,晶圓傳送組件209的各種模組一起定義一外部的外罩,該外罩定義一內部區域,該內部區域係連續的且保持為一受控的環境。該外罩容納晶圓傳送組件209的各種元件部分(componentry),包含機器人及暫存區堆疊。
位在晶圓傳送組件209的前端係負載鎖208。該負載鎖208控制進出晶圓傳送組件209的入口,協助晶圓在EFEM的實驗室氛圍條件與晶圓傳送組件209之真空或受控的氛圍條件之間轉移。在一些實施方式中,負載鎖208係一種雙單元,亦即,負載鎖208包含兩個分開的負載鎖槽,該等分開的負載鎖槽係獨立地加以控制以允許兩個個別的晶圓同時從晶圓傳送組件209加以負載及/或卸載。抽空負載鎖槽(例如當晶圓係從EFEM的氛圍條件移進晶圓傳送組件的真空條件)及/或填充負載鎖槽(例如當晶圓係從晶圓傳送組件的真空條件移至EFEM的氛圍條件)所需的時間係費時的,且可在群組工具系統處理晶圓的能力中成為一個限制的因素。因此,期望提供多於一個負載鎖槽以允許多個晶圓同時進入及/或離開晶圓傳送組件209。
圖3B根據本揭示內容的實施方式說明圖3A的晶圓傳送組件在沒有安裝蓋板之情況下的透視圖,以提供晶圓傳送組件內部的視圖。如圖所示,晶圓傳送組件209的第一部分310包含暫存區堆疊240和242及機器人224(機器人222在圖3B中係看不見的)。機器人224包含末端執行器314,該末端執行器314係配置成嚙合及支撐晶圓以藉由機器人進行搬運。晶圓傳送組件的第二部分312係顯示成包含暫存區堆疊244(暫存區堆疊246在圖3B中係看不見的)及機器人226的末端執行器316。
圖4A根據本揭示內容的實施方式說明晶圓傳送模組的透視圖。為了易於描述及經由上下文理解晶圓傳送組件,說明晶圓傳送模組102。然而,應理解該說明亦可應用於晶圓傳送組件209的晶圓傳送模組212及218。晶圓傳送組件209可自可組裝或拆卸的模組化元件加以定義,以提供配置的容易性以及當需要時協助各別元件的維修及更換。在所說明的實施方式中,提供晶圓傳送模組102之內部的視圖,其中機器人222係顯示包含末端執行器400,該末端執行器400係配置成嚙合及支撐正由機器人222搬運的晶圓。
閘閥230係亦可見的,其控制進入處理模組106的開口。閘閥230可加以開啟以在晶圓傳送模組102和處理模組106之間轉移晶圓(例如用於自處理模組負載或卸載晶圓),或閘閥230可加以關閉以將處理模組106與晶圓傳送模組102隔離(例如在晶圓的處理期間)。閘閥230係沿晶圓傳送模組102的橫向側面402加以定義。晶圓傳送模組102的橫向側面402係配置成連接至處理模組106。晶圓傳送模組102的橫向側面402可進一步包含一密封機構404,該密封機構404係配置成當處理模組106係附接至晶圓傳送模組102時形成氣密密封。作為示例而非限制,該密封機構404可由墊片、相對應的凹槽和槽、及/或當處理模組106係連接至晶圓傳送模組102時可實現氣密密封之任何其他類型的機構加以界定。
繼續參照圖4A,晶圓傳送模組102的後側406(背向EFEM 200)係亦加以顯示,該後側406係配置成連接至暫存區模組410(在圖4B中顯示)。晶圓傳送模組102的後側406亦可包含密封機構408,該密封機構408係配置成當暫存區模組410係附接至晶圓傳送模組102時提供氣密密封。
在一些實施方式中,諸如對於晶圓傳送模組212或218,取代暫存區模組,後蓋板可附接至晶圓傳送模組的後側,因而在此等實施方式中定義晶圓傳送組件的後側。所述之該等模組化的組件提供使晶圓傳送模組及暫存區模組交替的系統結構,其可連續地組裝或拆卸以定義晶圓傳送組件的期望配置。
圖4B根據本揭示內容的實施方式說明具有暫存區模組410與其附接的晶圓傳送模組102之透視圖。暫存區模組410的前側(在圖4B中係看不見的)連接至晶圓傳送模組102的後側406,在該等模組之間形成氣密密封。暫存區模組410的後側412係進一步配置成附接至另一晶圓傳送模組或後蓋板。暫存區模組410的後側412可包含密封機構414,該密封機構414係配置成與鄰近的晶圓傳送模組或後蓋板形成氣密密封。
暫存區模組410定義暫存區堆疊240及242,暫存區堆疊240及242係配置成儲存複數晶圓。暫存區模組的側端定義上述晶圓傳送組件的橫向側面突出部。該等暫存區堆疊共享針對晶圓傳送模組定義之相同的受控環境。此提供優點,因為晶圓可在系統的受控環境之內加以暫存而不需在系統的外部轉移。此有助於限制曝露於可能的污染物,且亦避免晶圓循環通過不同環境。舉例而言,在真空條件下加以處理且係接著經歷氛圍條件的晶圓可能與周圍氣體反應或曝露於污染物或微粒。因此,在晶圓傳送系統的受控環境內之暫存區堆疊的布局提供晶圓儲存位置以避免此等潛在的負面影響。
暫存區堆疊的每一者定義複數晶圓儲存槽,其中每一晶圓儲存槽係配置成儲存單一晶圓(例如晶圓420)。在所說明的實施方式中,暫存區堆疊240的晶圓儲存槽係由支撐臂416加以定義,該等支撐臂416係配置成支撐在暫存區堆疊內的晶圓。此外,可能有隔片,其定義成將晶圓儲存槽彼此分開。應理解在各種實施方式中,隔片和晶圓儲存槽(如由支撐臂所定義)兩者的數目及配置可能有所變化。在所說明的實施方式中,暫存區堆疊240係顯示具有隔片418a、418b及418c,其將四對晶圓儲存槽分開。如顯示的暫存區堆疊240因而總共包含八個晶圓儲存槽。暫存區堆疊242係類似地配置成具有八個晶圓儲存槽,其中,四對晶圓儲存槽係由三個隔片加以分開。
圖5根據本揭示內容的實施方式概念性地說明暫存區堆疊500的橫剖面圖。暫存區堆疊500包含上部502及下部504,該上部502具有由物理隔間彼此分開的晶圓儲存槽,該下部504具有未由物理隔間彼此分開的晶圓儲存槽。一給定的晶圓儲存槽係由一組支撐臂508加以定義,當晶圓(例如晶圓510)係在一給定的晶圓儲存槽中加以儲存時,該組支撐臂508係配置成支撐該晶圓。上部502的晶圓儲存槽彼此係藉由隔片506a、506b及506c加以分開,且上部502係藉由隔片506d而與下部504分開。該等隔片定義在毗鄰的晶圓儲存槽之間之物理隔間。
在一些實施方式中,上部502的晶圓儲存槽可用於儲存可能對污染較敏感或易受污染的晶圓,而下部504的晶圓儲存槽可用於儲存對污染較不敏感或較不易受污染的晶圓。在一些實施方式中,下部504可用以儲存在群組工具系統中再次使用的晶圓,諸如蓋晶圓(cover wafer)或陳化處理晶圓(seasoning wafer)。
蓋晶圓係在腔室維修操作(例如原位清潔)期間用以覆蓋處理模組的夾盤。在習知系統中,蓋晶圓係通常在實驗室氛圍條件下儲存在晶圓傳送組件的外部。此需要一給定的蓋晶圓在每次使用該蓋晶圓時通過氣室進入晶圓傳送組件,其在系統中可能為不期望粒子的來源。當頻繁使用蓋晶圓(例如每批量使用)時,此可能是非常有問題的。因為使用蓋晶圓時其係受到刻蝕,且通過氣室將其多次傳送進出晶圓傳送組件的步驟係粒子的來源,這可能最終降低產率或必須更頻繁的維修/清潔。因此,在晶圓傳送組件中儲存蓋晶圓(例如在真空條件下)係有利的,且因此使蓋晶圓不受因將其移進或移出晶圓傳送組件晶圓而造成的壓力循環,因為此將減少進入系統之污染物的量。
此外,在一些實施方式中,用於腔室清潔的化學品一旦曝露於大氣/水氣可能為腐蝕性的。舉例而言,當使用基於氯的化學品時,保持在真空的蓋晶圓在蓋晶圓的表面上可能具有相當非揮化性的氯化物。但如果蓋晶圓係自晶圓傳送組件進入大氣,那麼該等氯化物可能與空氣中的水氣反應,並可能釋出氣體及造成腐蝕。因此,藉由在真空中將蓋晶圓儲存在如所述的暫存區堆疊中,那麼此問題係加以避免,且蓋晶圓的使用壽命可加以延長。
應理解關於蓋晶圓及粒子產生/腐蝕的上述討論亦適用於陳化處理晶圓,該等陳化處理晶圓係用以在腔室中加以預燒。在圖5所說明的實施方式中,不具有將晶圓儲存槽分開之物理隔間的暫存區堆疊的下部可加以保留及/或用於蓋晶圓或陳化處理晶圓的儲存,而暫存區堆疊的上部(其可具有將個別晶圓儲存槽分開的物理隔間)係加以保留及/或用以儲存在群組工具系統中進行處理操作的晶圓。然而,應理解在其他實施方式中,蓋晶圓或陳化處理晶圓可在具有任何晶圓儲存槽及隔片之特殊配置的暫存區堆疊中之任何給定的晶圓儲存槽(或晶圓儲存槽的部分)中加以儲存。
在一些實施方式中,整個暫存區堆疊可加以保留及/或用於蓋晶圓/陳化處理晶圓的儲存。舉例而言,參照圖2的實施方式,暫存區堆疊248及/或暫存區堆疊250可配置成用於蓋晶圓/陳化處理晶圓的儲存,該暫存區堆疊248及/或暫存區堆疊250針對此目的提供專用的暫存區堆疊。
圖6根據本揭示內容的實施方式說明顯示暫存區堆疊之暫存區模組之一部分的橫剖面圖。暫存區堆疊係類似於圖5的實施例,暫存區堆疊包含上部602及下部604,該上部602具有晶圓儲存槽610a、610b、610c及610d,該下部604具有晶圓儲存槽612a、612b及612c。晶圓儲存槽610a-d係由隔片606a、606b、606c及606d分別隔間,而晶圓儲存槽612a-c係未由物理隔片分別隔間。在一些實施方式中,下部604的晶圓儲存槽612a-c係加以保留及/或用於蓋晶圓、陳化處理晶圓或在處理模組中再次使用之任何其他晶圓類型的儲存。
暫存區堆疊進一步包含晶圓儲存槽615,該晶圓儲存槽615係在下部604下方且藉由隔板614將該下部604加以隔開。晶圓儲存槽615包含晶圓定向器616(orienter),該晶圓定向器616係能夠支撐及旋轉其上放置的晶圓。根據本揭示內容的實施例應理解當一給定的晶圓係在晶圓傳送組件各處加以傳送時,該晶圓的旋轉定向將改變。對於一些處理模組,可能期望以一特定旋轉定向將晶圓放進處理模組。因此,使晶圓定向器整合進暫存區堆疊以旋轉一給定的晶圓係非常有用的,使得該晶圓將具有處理模組期望的特定旋轉定向。在一些實施方式中,晶圓定向器616進一步包含致動器618,該致動器618在暫存區模組410的主外罩下方延伸。致動器618係配置成驅動晶圓定向器616的旋轉。
圖7根據本揭示內容的實施方式說明群組工具系統,其強調當晶圓移動通過該系統的各種元件時該晶圓的定向。所說明的系統係類似於圖2的實施方式,其包含晶圓傳送模組102、212和218及暫存區堆疊240、242、244和246(全體定義為晶圓傳送組件)。
在所說明的實施方式中,暫存區堆疊係傾斜33度。也就是說,每一暫存區堆疊的中心從由一毗鄰的晶圓傳送模組的中心至另一毗鄰晶圓傳送模組的中心所定義的向量偏離33度。舉例而言,若一向量係定義成從晶圓傳送模組102的中心至晶圓傳送模組212的中心,那麼暫存區堆疊240和242的中心從此向量各偏離33度。因為暫存區堆疊係傾斜的,在缺少任何主動旋轉系統內之一給定晶圓的情況下,當移動通過暫存區堆疊時晶圓的旋轉定向將改變,且從一處理模組至下一模組可能不同。
繼續參照圖7,亦顯示具有切口的晶圓700,該切口的位置係藉由在參考點702處標示的一點概念上地加以顯示。舉例來說,在所說明的實施方式中,晶圓700係顯示通過負載鎖208進入晶圓傳送組件,在此階段的晶圓700使其切口在定向成朝晶圓傳送組件後面的縱向上對齊。從此位置,當晶圓700係藉由晶圓傳送模組102移動進入處理模組106時,該晶圓逆時針旋轉90度,使得該切口變成定向成朝處理模組106之開口的橫向。在一些實施方式中,當晶圓在處理模組106中時,對於該晶圓這是期望的定向,且因此不需要晶圓的額外旋轉或處理模組的重新配置。在處理模組106中的處理之後,晶圓700可藉由晶圓傳送模組102移動至暫存區堆疊242,此造成晶圓逆時針旋轉額外的57度。應理解當晶圓係藉由晶圓傳送模組102搬運且在負載鎖208、處理模組100或106、及暫存區堆疊240或242的其中任一者之間移動時,切口係定向成朝晶圓傳送模組102的中心。
從暫存區堆疊242,若晶圓700係藉由晶圓傳送模組212移動至處理模組214,那麼晶圓700逆時針旋轉額外的57度。這表示切口從由晶圓700的中心至晶圓傳送模組212的中心所定義的向量逆時針偏離114度。因為晶圓700對處理模組214的期望定向可能是沒有自該所定義的向量偏離,那麼在進入處理模組214之前旋轉晶圓700可能是期望的。舉例而言,整合進暫存區堆疊242的晶圓定向器可配置成以順時針方向將晶圓700旋轉114度,以提供當晶圓700被傳送進入處理模組214時,該晶圓700對齊期望的定向。
在一類似的方式中,若晶圓700如圖所示係從暫存區堆疊242移動進入處理模組210,那麼晶圓700順時針旋轉123度。此可能再次造成晶圓的定向從處理模組210的期望定向逆時針偏離114度。同樣地,整合進暫存區堆疊242的晶圓定向器可配置成以順時針的方向將晶圓700旋轉114度,以提供當晶圓700被傳送進入處理模組210時,該晶圓700對齊期望的方向(切口定向成朝晶圓傳送模組212之中心)。
從處理模組214,若晶圓700如圖所示係接著藉由晶圓傳送模組212移動至暫存區堆疊246,那麼該晶圓700係順時針旋轉57度。應理解若晶圓700如圖所示係藉由晶圓傳送模組212從暫存區堆疊242或處理模組210移動至暫存區堆疊246,那麼晶圓700將達到相同的定向。若晶圓700係接著藉由晶圓傳送模組218從暫存區堆疊246移動至處理模組220,那麼晶圓700將旋轉額外的57度,表示切口的定向將從由晶圓700的中心至晶圓傳送模組218的中心所定義的向量順時針偏離132度。若晶圓700係藉由晶圓傳送模組218從暫存區堆疊246移動至處理模組216,那麼晶圓700將順時針旋轉123度,再次表示切口的定向將從由晶圓700的中心至晶圓傳送模組218的中心所定義的向量順時針偏離132度。晶圓定向器可被包含在暫存區堆疊246內,以在處理期間依需要旋轉晶圓,以當晶圓被傳送進入處理模組時確保最佳的旋轉定向。
雖然在上述的討論中,暫存區堆疊係描述成傾斜33度,應理解在其他實施方式中,暫存區堆疊所傾斜之角度的範圍係約30至35度。在又其他實施方式中,暫存區堆疊所傾斜之角度的範圍係約25至40度。上述討論之關於在系統中的傳送期間晶圓旋轉的概念係不論暫存區堆疊傾斜的特定角度而適用,且在系統中之任何給定位置的晶圓之特定定向對精於本項技術之人士而言係顯而易見的。
在本揭示內容的實施方式中,晶圓傳送組件可包含幾個暫存區堆疊,該等暫存區堆疊係配置成針對各種目的加以使用且協助提供優於習知系統的生產率優勢。該等暫存區堆疊可用以定義晶圓的途徑。舉例而言,在一些實施方式中,在一給定晶圓傳送組件中之其中至少一些暫存區堆疊中的至少一些晶圓儲存槽係配置成使得晶圓僅移動通過一給定的暫存區堆疊一次。在一些實施方式中,系統係配置成使得晶圓從一側進入一給定的暫存區堆疊且自另一側離開該暫存區堆疊。例如,繼續參照圖7,暫存區堆疊242可能配置成使得晶圓從面向晶圓傳送模組102之暫存區堆疊242之側(朝前側)進入,且自面向晶圓傳送模組212之側(朝後側)離開。以此方式,晶圓以單向方式的傳送從晶圓傳送模組102經過暫存區堆疊242移動至晶圓傳送模組212。藉由使晶圓以單向的方式移動通過暫存區堆疊,晶圓不返回相同的暫存區堆疊,且因不同製程的交叉污染之可能性係加以降低。
繼續延伸參照圖7的概念,暫存區堆疊242及246可配置成使得晶圓從朝前側至朝後側移動通過其每一者,其中暫存區堆疊244及240係配置成使得晶圓以相反的方式從朝後側至朝前側移動通過其每一者。概念上,晶圓傳送模組及暫存區堆疊因此定義晶圓通過晶圓傳送組件及/或群組工具系統的途徑。
作為示例而非限制,可針對晶圓定義一途徑:從負載鎖208至晶圓傳送模組102,至暫存區堆疊242,至晶圓傳送模組212,至處理模組210或214,至晶圓傳送模組212,至暫存區堆疊240,至晶圓傳送模組102,至負載鎖208。
作為另一例子,可針對晶圓定義一途徑:從負載鎖208至晶圓傳送模組102,至暫存區堆疊242,至晶圓傳送模組212,至暫存區堆疊246,至處理模組216或220,至晶圓傳送模組218,至暫存區堆疊244,至晶圓傳送模組212,至暫存區堆疊240,至晶圓傳送模組102,至負載鎖208。
在一些實施方式中,某些暫存區堆疊係指定成輸入暫存區堆疊,用於將被傳送至處理模組進行處理之晶圓的暫時儲存,而其他暫存區堆疊係指定成輸出暫存區堆疊,用於已處理且係將被傳送出系統之晶圓的暫時儲存。例如,在所說明的實施方式中,暫存區堆疊242及246可能被指定為輸入暫存區堆疊,而暫存區堆疊240及244可能被指定為輸出暫存區堆疊。此允許晶圓的輸入路徑與晶圓的輸出路徑分別定義,其可幫助避免交叉污染及瓶頸。
以上關於暫存區堆疊之路徑及使用的討論係作為示例而非限制加以提供。應理解本揭示內容的暫存區堆疊(其係在相同的受控環境(例如真空)中定義成晶圓傳送模組)可以任何合適的方式靈活運用及使用,以達成晶圓通過群組工具系統之有效率的儲存及運動。
圖8根據本揭示內容的實施方式說明群組工具系統之一部分的橫剖面圖。在所說明的實施方式中,一對負載鎖800及802係以並排的配置加以排列,且在晶圓傳送模組102和EFEM 200之間加以連接。負載鎖800及802的每一者可為雙槽負載鎖,該每一者具有可用於在EFEM和晶圓傳送模組102之間進行晶圓傳送的兩個槽。在此一配置中,該等負載鎖一起提供同時搬運四個晶圓的能力。在一些實施方式中,負載鎖的其中一者可用於進入晶圓傳送組件的晶圓,而負載鎖的其中一者可用於離開晶圓傳送組件的晶圓。
圖9根據本揭示內容的實施方式概念性地說明具有整合式後處理模組之負載鎖的配置。負載鎖800及802係以並排的配置加以排列。負載鎖800包括槽900及902,而負載鎖802包含槽904和906,該等槽的每一者係配置成將晶圓傳送進出晶圓傳送組件。
此外,後處理模組908及910係分別與負載鎖800及802垂直堆疊。在各種實施方式中,後處理模組可配置成在已處理的晶圓上執行後處理操作,諸如剝離操作或鈍化操作。在所說明的實施方式中,後處理模組908及910係分別位在負載鎖800及802之上,但在其他實施方式中,後處理模組908及910可分別位在負載鎖800及802下方。後處理模組908及910可配置成在已處理的晶圓離開晶圓傳送組件之前在該已處理的晶圓上執行剝離或鈍化操作。後處理模組908及910係開通至晶圓傳送組件的內部。
因此作為一個例子,參照圖2的系統,已處理的晶圓可藉由晶圓傳送模組102被放進後處理模組的其中一者。在完成後處理(例如剝離或鈍化)操作之後,該晶圓係藉由晶圓傳送模組102從該後處理模組加以移除且放進負載鎖800或802其中一者中的晶圓槽,該晶圓將從晶圓傳送組件209傳送至EFEM 200。
應理解本揭示內容的實施方式係適用於任何各種尺寸的基板,包含200 mm、300 mm和450 mm的基板,及非標準尺寸及形狀的基板,包含矩形基板。
圖10顯示用於控制上述系統的控制模組1000。例如,控制模組1000可包含處理器、記憶體及一個以上介面。該控制模組1000可用以根據預定的編程及部分基於感測值而控制系統中的裝置,該等裝置包含任何上述群組工具系統的元件,包括但不限於EFEM、負載鎖、後處理模組、晶圓傳送模組、晶圓定向器及處理模組。應理解根據本揭示內容的實施方式,控制模組1000可控制一給定元件所針對定義或能夠執行的任何操作類型。
僅作為例子,控制模組1000可控制下列其中一者以上:閥1002、致動器1004、幫浦1006、RF產生器1022、及基於感測值、預定的編程/指令及其他控制參數的其他裝置1008。控制模組1000自例如壓力計1010、流量計1012、溫度感測器1014及/或其他感測器1016接收感測值。
關於一給定的處理模組,控制模組1000亦可用以在反應物/前驅物遞送和電漿處理期間控制製程條件。控制模組1000通常包含一個以上記憶體裝置及一個以上處理器。
控制模組1000可控制反應物/前驅物遞送系統及電漿處理設備的活動。控制模組1000執行包含用於控制下述之指令集的電腦程式:處理時序、遞送系統的溫度、橫跨過濾器的壓差、閥的位置、氣體的混合、腔室壓力、腔室溫度、晶圓溫度、RF功率位準、晶圓夾盤或基座位置、及特定製程的其他參數。控制模組1000亦可監控壓差,並自動地將氣態前驅物的遞送從一個以上路徑切換至一個以上的其他路徑。儲存在關於控制模組1000之記憶體裝置的其他電腦程式可在一些實施例中加以使用。
通常有關於控制模組1000的使用者介面。該使用者介面可包含顯示器1018(例如:設備及/或製程條件的顯示螢幕及/或圖形軟體顯示器),以及使用者輸入裝置1020,諸如指向裝置、鍵盤、觸控螢幕、麥克風等。
用於控制在製程序列中之前驅物的遞送、電漿處理及其他製程的電腦程式可以任何傳統的電腦可讀程式設計語言加以撰寫,例如:組合語言、C、C++、巴斯卡(Pascal)、福傳(Fortran)或其他。編譯的目的碼或腳本係由處理器實行以執行在程式中所確定的任務。
控制模組參數係與製程條件相關,例如:過濾器的壓差、處理氣體成分及流率、溫度、壓力、電漿條件(諸如RF功率位準及低頻的RF頻率)、冷卻氣體壓力以及腔室壁溫度。
系統軟體可以許多不同的方式加以設計或配置。例如:各種腔室元件的副程式(subroutine)或控制物件可被撰寫,以控制執行本發明之沉積製程必須的腔室元件之操作。為了此目的之程式或程式部分的例子包含基板定位碼、處理氣體控制碼、壓力控制碼、加熱器控制碼及電漿控制碼。
基板定位程式可包含控制腔室元件的程式碼,用以將基板負載至基座或夾盤之上,及用以控制在基板和腔室其他部分(諸如進氣口及/或目標物)之間的間距。處理氣體控制程式可包含程式碼,用於控制氣體成分和流率,及選用性地用於在沉積之前將氣體流進腔室以使腔室內的壓力穩定。過濾器監控程式包含將測量的壓差與預定的數值相比較的程式碼,及/或用於切換路徑的程式碼。壓力控制程式可包含程式碼,用於藉由調節如腔室排氣系統內的節流閥而控制腔室內的壓力。加熱器控制程式可包含控制電流流至加熱單元的程式碼,用於加熱在前驅物遞送系統內的成分、基板及/或系統的其他部分。或者,該加熱器控制程式可控制諸如氦之加熱轉移氣體遞送至晶圓夾盤。
可在處理期間加以監控之感測器的例子包含但不限於質流控制模組、壓力感測器(諸如壓力計1010)、及位在遞送系統、基座或夾盤內的熱偶(例如溫度感測器1014)。適當編程的反饋及控制演算法可與來自這些感測器的資料一起使用以維持期望的製程條件。以上描述在單一或多腔室半導體處理工具中之本發明實施例的實施方式。
上述實施例的描述係提供為說明及描述的目的。其係非意欲為詳盡的或限制本發明。特定實施例的個別元件或特徵係通常不限於該特定實施例,但在合適的情況下,即使未特別顯示或說明,係可互換的且可在所選定的實施例中加以使用。上述元件或特徵亦可以許多方式加以變化。此等變化係非被視為背離本發明,且所有此等修改係意圖被包含在本發明的範圍之內。
雖然上述實施例為了清楚理解的目的已以一些細節加以描述,但顯然地,某些改變與修飾可在隨附申請專利範圍的範疇內加以實施。因此,本發明實施例係被視為說明性而非限制性,且該等實施例係非限於此處提供的細節,而是可在申請專利範圍的範疇及等同物之內加以修改。
100:處理模組 102:晶圓傳送模組 104:機器人致動器 106:處理模組 108:處理模組框架 110:處理模組框架 112:氣體箱 114:氣體箱 116:地板 118:支柱 120:底板 121:底板空間 122:維修地板 124:維修地道 128:前端 132:排氣管 134:氣體箱 136:氣體箱 137:孔 138:氣體管線 200:設備前端模組(EFEM) 202a:負載端口 202b:負載端口 202c:負載端口 202d:負載端口 204a:晶圓傳送容器 204b:晶圓傳送容器 204c:晶圓傳送容器 204d:晶圓傳送容器 206a:暫存區工作站 206b:暫存區工作站 206c:暫存區工作站 208:負載鎖 209:晶圓傳送組件 210:處理模組 212:晶圓傳送模組 214:處理模組 216:處理模組 218:晶圓傳送模組 220:處理模組 222:機器人 224:機器人 226:機器人 228:閘閥 230:閘閥 232:閘閥 234:閘閥 236:閘閥 238:閘閥 240:暫存區堆疊 242:暫存區堆疊 244:暫存區堆疊 246:暫存區堆疊 248:暫存區堆疊 250:暫存區堆疊 252:橫向側面突出部 254:橫向側面部分 256:橫向側面部分 258:角區 260:角區 262:橫向側面突出部 270:單向軸 300:第一蓋板 302:窗口門戶 304:窗口門戶 306:第二蓋板 308:窗口門戶 310:第一部分 312:第二部分 314:末端執行器 316:末端執行器 400:末端執行器 402:橫向側面 404:密封機構 406:後側 408:密封機構 410:暫存區模組 412:後側 414:密封機構 416:支撐臂 418a:隔片 418b:隔片 418c:隔片 420:晶圓 500:暫存區堆疊 502:上部 504:下部 506a:隔片 506b:隔片 506c:隔片 506d:隔片 508:支撐臂 510:晶圓 602:上部 604:下部 606a:隔片 606b:隔片 606c:隔片 606d:隔片 610a:晶圓儲存槽 610b:晶圓儲存槽 610c:晶圓儲存槽 610d:晶圓儲存槽 612a:晶圓儲存槽 612b:晶圓儲存槽 612c:晶圓儲存槽 614:隔板 615:晶圓儲存槽 616:晶圓定向器 618:致動器 700:晶圓 702:參考點 800:負載鎖 802:負載鎖 900:槽 902:槽 904:槽 906:槽 908:後處理模組 910:後處理模組 1000:控制模組 1002:閥 1004:致動器 1006:幫浦 1008:其他裝置 1010:壓力計 1012:流量計 1014:溫度感測器 1016:其他感測器 1018:顯示器 1020:輸入裝置 1022:RF產生器
圖1A根據本揭示內容的實施方式概念性地說明用於處理晶圓的群組工具之一部分的橫剖面。
圖1B根據本揭示內容的實施方式說明群組工具系統的透視圖。
圖1C根據本揭示內容的實施方式說明群組工具系統的透視圖。
圖1D根據本揭示內容的實施方式說明群組工具系統的橫剖面圖。
圖1E根據本揭示內容的實施方式概念性地說明用於處理晶圓之群組工具之一部分的橫剖面。
圖1F根據本揭示內容的實施方式說明用於處理晶圓之群組工具的剖視圖。
圖2根據本揭示內容的實施方式說明群組工具系統的俯視圖,其說明系統各種設備件之概念上的剖面。
圖3A係根據本揭示內容的實施方式之晶圓傳送組件的透視圖。
圖3B根據本揭示內容的實施方式說明圖3A的晶圓傳送組件在沒有安裝蓋板之情況下的透視圖,以提供晶圓傳送組件內部的視圖。
圖4A根據本揭示內容的實施方式說明晶圓傳送模組的透視圖。
圖4B根據本揭示內容的實施方式說明具有暫存區模組410與其附接的晶圓傳送模組102之透視圖。
圖5根據本揭示內容的實施方式概念性地說明暫存區堆疊500的橫剖面圖。
圖6根據本揭示內容的實施方式說明顯示暫存區堆疊之暫存區模組之一部分的橫剖面圖。
圖7根據本揭示內容的實施方式說明群組工具系統,其強調當晶圓移動通過該系統的各種元件時該晶圓的定向。
圖8根據本揭示內容的實施方式說明群組工具系統之一部分的橫剖面圖。
圖9根據本揭示內容的實施方式概念性地說明具有整合式後處理模組之負載鎖的配置。
圖10顯示用於控制本揭示內容之系統的控制模組。
100:處理模組
102:晶圓傳送模組
106:處理模組
200:設備前端模組(EFEM)
202a:負載端口
202b:負載端口
202c:負載端口
202d:負載端口
204a:晶圓傳送容器
204b:晶圓傳送容器
204c:晶圓傳送容器
204d:晶圓傳送容器
206a:暫存區工作站
206b:暫存區工作站
206c:暫存區工作站
208:負載鎖
209:晶圓傳送組件
210:處理模組
212:晶圓傳送模組
214:處理模組
216:處理模組
218:晶圓傳送模組
220:處理模組
222:機器人
224:機器人
226:機器人
228:閘閥
230:閘閥
232:閘閥
234:閘閥
236:閘閥
238:閘閥
240:暫存區堆疊
242:暫存區堆疊
244:暫存區堆疊
246:暫存區堆疊
248:暫存區堆疊
250:暫存區堆疊
252:橫向側面突出部
254:橫向側面部分
256:橫向側面部分
258:角區
260:角區
262:橫向側面突出部
270:單向軸

Claims (17)

  1. 一種基板處理工具,包含: 一晶圓傳送組件,包含一第一晶圓傳送模組,其中該晶圓傳送組件沿該基板處理工具之一縱軸延伸; 複數處理模組,包含一第一處理模組及一第二處理模組,排列在該基板處理工具之該縱軸之相反側,其中該第一晶圓傳送模組之外側分別耦接至該第一及第二處理模組;以及 一維修地道,定義在該晶圓傳送組件下方,其中該維修地道從該基板處理工具之前端沿該縱軸延伸至位於該晶圓傳送組件下方的該基板處理工具之後端。
  2. 如請求項1之基板處理工具,其中,該維修地道具有垂直尺寸,該垂直尺寸定義在該晶圓傳送組件的底面和位在該晶圓傳送組件下方的一維修地板之間。
  3. 如請求項2之基板處理工具,其中,該維修地道之垂直尺寸係在183 cm至244 cm的範圍之中。
  4. 如請求項2之基板處理工具,其中,該維修地板定義於一高度處,該高度係低於一製造設施地板之高度。
  5. 如請求項4之基板處理工具,其中,該維修地板的高度係在該製造設施地板的高度下方30.5 cm至61 cm之間。
  6. 如請求項1之基板處理工具,其中: 該晶圓傳送組件包含一第二晶圓傳送模組; 該複數處理模組包含一第三處理模組及一第四處理模組,排列在該基板處理工具之該縱軸之相反側,其中該第二晶圓傳送模組之外側分別耦接至該第二及第三處理模組;以及 該第一晶圓傳送模組及該第二晶圓傳送模組定義一連續的晶圓傳送容積,該連續晶圓傳送容積在該晶圓傳送組件之內提供一受控的環境。
  7. 如請求項6之基板處理工具,進一步包含: 一暫存區模組,在該晶圓傳送組件之內的該連續的晶圓傳送容積之中的該第一晶圓傳送模組與該第二晶圓傳送模組之間加以排列。
  8. 如請求項7之基板處理工具,其中該暫存區模組包含一第一暫存區堆疊。
  9. 如請求項8之基板處理工具,其中該第一暫存區堆疊之一第一部分定義第一複數晶圓儲存槽並且包含加以定義在該第一複數晶圓儲存槽每一者之間的一個以上隔片,並且其中該第一暫存區堆疊之一第二部分定義第二複數晶圓儲存槽,該第二複數晶圓儲存槽不包含加以定義在該第二複數晶圓儲存槽每一者之間的隔片。
  10. 如請求項9之基板處理工具,其中該第一晶圓傳送模組及該第二晶圓傳送模組的至少一者將一陳化處理(seasoning)晶圓與一蓋晶圓的至少其中一者儲存於該第一暫存區堆疊之該第二部分之中,並且將一已處理的晶圓與一未處理的晶圓的至少其中一者儲存於該第一暫存區堆疊之該第一部分之中。
  11. 如請求項8之基板處理工具,進一步包含一第二暫存區堆疊,其中: 該第二暫存區堆疊之一第一部分,定義第一複數晶圓儲存槽,並且包含加以定義在該第一複數晶圓儲存槽每一者之間的一個以上隔片; 該第二暫存區堆疊之一第二部分,定義一第二複數晶圓儲存槽,該第二部分不包含加以定義在該第二複數晶圓儲存槽每一者之間的隔片;並且 該第一晶圓傳送模組與該第二晶圓傳送模組之至少一者將一未處理的晶圓與一已處理的晶圓之至少其中一者儲存於該第二暫存區堆疊之該第一部分之中,並且將一陳化處理晶圓與一蓋晶圓之至少其中一者儲存於該第二暫存區堆疊之該第二部分之中。
  12. 如請求項6之基板處理工具,進一步包含: 至少二對處理模組框架,配置成在一製造設施地板上方沿該晶圓傳送組件之橫向側面支撐該第一處理模組、該第二處理模組、該第三處理模組、以及該第四處理模組。
  13. 如請求項1之基板處理工具,其中,該晶圓傳送組件包含一第一端及一第二端,該第一端朝一設備前端模組(EFEM)加以定向,該第二端係與該第一端為相反側。
  14. 如請求項13之基板處理工具,其中,該晶圓傳送組件之該第一端配置成連接至控制進出該EFEM之通路的一負載鎖,並且其中該維修地道的一第一端實質延伸至該EFEM。
  15. 如請求項1之基板處理工具,進一步包含: 至少二對氣體箱,排列在該晶圓傳送組件之中,並且配置成將氣體混和物輸送至該第一及第二處理模組;以及 一排氣管,加以排列俾以選擇性抽空該第一及第二處理模組, 其中該至少二對氣體箱包含沿其表面的孔,使得氣體自該至少二對氣體盒加以抽空至該排氣管。
  16. 如請求項15之基板處理工具,進一步包含: 複數氣體管線,配置成將氣體混和物供給至該至少二對氣體箱,其中該複數氣體管線每一者沿該排氣管配置至該至少二對氣體箱。
  17. 如請求項16之基板處理工具,其中該複數氣體管線每一者配置在該排氣管之中,自該晶圓傳送組件之外部的一區域至該晶圓傳送組件之內部的一區域。
TW110101946A 2015-10-20 2016-10-17 具有整合的暫存區之晶圓傳送組件 TWI773092B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/887,935 2015-10-20
US14/887,935 US10014196B2 (en) 2015-10-20 2015-10-20 Wafer transport assembly with integrated buffers

Publications (2)

Publication Number Publication Date
TW202127570A true TW202127570A (zh) 2021-07-16
TWI773092B TWI773092B (zh) 2022-08-01

Family

ID=58524283

Family Applications (3)

Application Number Title Priority Date Filing Date
TW111124184A TW202240752A (zh) 2015-10-20 2016-10-17 具有整合的暫存區之晶圓傳送組件
TW105133375A TWI720034B (zh) 2015-10-20 2016-10-17 具有整合的暫存區之晶圓傳送組件
TW110101946A TWI773092B (zh) 2015-10-20 2016-10-17 具有整合的暫存區之晶圓傳送組件

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW111124184A TW202240752A (zh) 2015-10-20 2016-10-17 具有整合的暫存區之晶圓傳送組件
TW105133375A TWI720034B (zh) 2015-10-20 2016-10-17 具有整合的暫存區之晶圓傳送組件

Country Status (4)

Country Link
US (4) US10014196B2 (zh)
JP (3) JP7020772B2 (zh)
KR (2) KR102601655B1 (zh)
TW (3) TW202240752A (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2019049747A1 (ja) * 2017-09-06 2020-10-22 東京エレクトロン株式会社 半導体製造装置の設置方法、記憶媒体及び半導体製造装置の設置システム
DE102018113786A1 (de) * 2018-06-08 2019-12-12 Vat Holding Ag Waferübergabeeinheit und Waferübergabesystem
US10854442B2 (en) 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Orientation chamber of substrate processing system with purging function
JP7277137B2 (ja) * 2018-12-28 2023-05-18 株式会社Screenホールディングス 基板処理装置、および搬送モジュール
CN113192859B (zh) * 2020-01-14 2022-10-21 长鑫存储技术有限公司 晶圆加工系统及晶圆加工方法
CN113508456A (zh) * 2020-02-05 2021-10-15 株式会社安川电机 搬运系统、搬运方法以及搬运装置
US11935771B2 (en) * 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
US11935770B2 (en) * 2021-02-17 2024-03-19 Applied Materials, Inc. Modular mainframe layout for supporting multiple semiconductor process modules or chambers
TWI745266B (zh) * 2021-05-31 2021-11-01 博斯科技股份有限公司 反應爐冷卻系統及其方法
CN117878043B (zh) * 2024-03-11 2024-05-17 常熟市兆恒众力精密机械有限公司 一种真空腔室及使用真空腔室的半导体处理设备

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3665452B2 (ja) * 1996-09-09 2005-06-29 東京エレクトロン株式会社 被処理体中継装置
JP3735175B2 (ja) * 1997-03-04 2006-01-18 大日本スクリーン製造株式会社 基板処理装置
JP2000183019A (ja) 1998-12-21 2000-06-30 Dainippon Screen Mfg Co Ltd 多段基板処理装置
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
TW484170B (en) * 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
JP4804692B2 (ja) * 2000-02-24 2011-11-02 東京エレクトロン株式会社 ガス漏洩検知システム、ガス漏洩検知方法及び半導体製造装置
US7032614B2 (en) 2000-11-03 2006-04-25 Applied Materials, Inc. Facilities connection box for pre-facilitation of wafer fabrication equipment
JP2003042399A (ja) * 2001-07-27 2003-02-13 Nippon Sanso Corp ガス供給設備
JP4821074B2 (ja) * 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
JP2003203963A (ja) * 2002-01-08 2003-07-18 Tokyo Electron Ltd 搬送機構、処理システム及び搬送方法
JP3811409B2 (ja) * 2002-02-13 2006-08-23 東京エレクトロン株式会社 処理装置
JP2003257870A (ja) * 2002-02-28 2003-09-12 Nippon Sanso Corp 半導体装置の製造システム及びガス供給方法
JP4378114B2 (ja) * 2003-06-18 2009-12-02 東京エレクトロン株式会社 処理システム
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
JP4860167B2 (ja) 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
JP2006093710A (ja) * 2005-09-22 2006-04-06 Dainippon Screen Mfg Co Ltd 基板処理装置
KR100847888B1 (ko) * 2006-12-12 2008-07-23 세메스 주식회사 반도체 소자 제조 장치
US7934898B2 (en) * 2007-07-16 2011-05-03 Semitool, Inc. High throughput semiconductor wafer processing
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
TWI341350B (en) * 2008-01-25 2011-05-01 Au Optronics Corp Raised floor system
JP5350692B2 (ja) * 2008-07-03 2013-11-27 東京エレクトロン株式会社 基板処理装置及びそれに用いられる支持フレーム
JP5462506B2 (ja) 2009-03-18 2014-04-02 株式会社Sokudo 基板処理装置
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
TWI458612B (zh) 2009-11-10 2014-11-01 Intevac Inc 可作z軸運動並具多關節手臂之線性真空機械手
JP5503006B2 (ja) * 2010-08-06 2014-05-28 東京エレクトロン株式会社 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法
US20120058630A1 (en) * 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
KR101744372B1 (ko) * 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
JP5738016B2 (ja) 2011-03-08 2015-06-17 キヤノン株式会社 搬送システム、およびそれを用いたデバイスの製造方法
JP5797430B2 (ja) 2011-03-18 2015-10-21 株式会社Screenホールディングス 基板収容器
WO2013072760A2 (en) * 2011-10-26 2013-05-23 Brooks Automation, Inc. Semiconductor wafer handling and transport
JP2013143413A (ja) * 2012-01-10 2013-07-22 Hitachi High-Technologies Corp 真空処理装置
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
JP2013229373A (ja) * 2012-04-24 2013-11-07 Tokyo Electron Ltd 基板処理装置及びそのメンテナンス方法
JP2013254796A (ja) * 2012-06-05 2013-12-19 Tokyo Electron Ltd 半導体製造装置のメンテナンス機器及び半導体製造装置のメンテナンス方法
US9293317B2 (en) * 2012-09-12 2016-03-22 Lam Research Corporation Method and system related to semiconductor processing equipment
US9378994B2 (en) * 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same

Also Published As

Publication number Publication date
JP7404412B2 (ja) 2023-12-25
US20220344183A1 (en) 2022-10-27
JP2017092459A (ja) 2017-05-25
US10790174B2 (en) 2020-09-29
US20180233387A1 (en) 2018-08-16
KR20170054242A (ko) 2017-05-17
JP7020772B2 (ja) 2022-02-16
JP2022058860A (ja) 2022-04-12
US10014196B2 (en) 2018-07-03
TWI720034B (zh) 2021-03-01
JP2024023646A (ja) 2024-02-21
KR102601655B1 (ko) 2023-11-10
TWI773092B (zh) 2022-08-01
KR20230158447A (ko) 2023-11-20
TW201727800A (zh) 2017-08-01
US20170110354A1 (en) 2017-04-20
TW202240752A (zh) 2022-10-16
US11764086B2 (en) 2023-09-19
US20210005485A1 (en) 2021-01-07
US11393705B2 (en) 2022-07-19

Similar Documents

Publication Publication Date Title
TWI720034B (zh) 具有整合的暫存區之晶圓傳送組件
TWI730007B (zh) 半導體製造與研究晶圓廠中用於資本設備之維修地道
US11171028B2 (en) Indexable side storage pod apparatus, heated side storage pod apparatus, systems, and methods
JP2024041810A (ja) 側方収納ポッド、機器フロントエンドモジュール、及び、基板を処理する方法
JP5785712B2 (ja) 真空処理装置
US20140216658A1 (en) Vacuum processing device
TW201725646A (zh) 負載鎖介面與整合式後處理模組
KR20090042280A (ko) 소형 풋프린트 모듈형 처리 시스템
KR20230023041A (ko) 로드락들을 팩토리 인터페이스 풋프린트 공간 내에 통합하기 위한 시스템들 및 방법들
US11527426B2 (en) Substrate processing device
KR20240051070A (ko) 중복성을 갖는 팩토리 인터페이스