KR20230023041A - 로드락들을 팩토리 인터페이스 풋프린트 공간 내에 통합하기 위한 시스템들 및 방법들 - Google Patents

로드락들을 팩토리 인터페이스 풋프린트 공간 내에 통합하기 위한 시스템들 및 방법들 Download PDF

Info

Publication number
KR20230023041A
KR20230023041A KR1020237002998A KR20237002998A KR20230023041A KR 20230023041 A KR20230023041 A KR 20230023041A KR 1020237002998 A KR1020237002998 A KR 1020237002998A KR 20237002998 A KR20237002998 A KR 20237002998A KR 20230023041 A KR20230023041 A KR 20230023041A
Authority
KR
South Korea
Prior art keywords
factory interface
load
robot
electronic device
device manufacturing
Prior art date
Application number
KR1020237002998A
Other languages
English (en)
Other versions
KR102619109B1 (ko
Inventor
제이콥 뉴먼
앤드류 제이. 콘스탄트
마이클 알. 라이스
폴 비. 로이터
셰이 아사프
수샨트 에스. 코쉬티
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237044576A priority Critical patent/KR20240005190A/ko
Publication of KR20230023041A publication Critical patent/KR20230023041A/ko
Application granted granted Critical
Publication of KR102619109B1 publication Critical patent/KR102619109B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/0084Programme-controlled manipulators comprising a plurality of manipulators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Preparation Of Compounds By Using Micro-Organisms (AREA)

Abstract

본 개시내용은 로드락들을 팩토리 인터페이스 풋프린트 공간 내에 통합하기 위한 디바이스들, 시스템들 및 방법들을 설명한다. 전자 디바이스 제조 시스템을 위한 팩토리 인터페이스는 최하부, 최상부 및 복수의 면들에 의해 정의되는 내부 볼륨, 팩토리 인터페이스의 내부 볼륨 내에 배치된 제1 로드락, 및 팩토리 인터페이스의 내부 볼륨 내에 배치된 제1 팩토리 인터페이스 로봇을 포함할 수 있고, 제1 팩토리 인터페이스 로봇은 기판 캐리어들의 제1 세트와 제1 로드락 사이에서 기판들을 이송하도록 구성된다.

Description

로드락들을 팩토리 인터페이스 풋프린트 공간 내에 통합하기 위한 시스템들 및 방법들
[0001] 본 개시내용의 실시예들은 일반적으로 로드락(load lock)들을 팩토리 인터페이스 풋프린트 공간(factory interface footprint space) 내에 통합하기 위한 시스템들 및 방법들에 관한 것이다.
[0002] 전자 디바이스 제조 시스템은 기판들을 수송하고 제조하기 위한 하나 이상의 툴 또는 컴포넌트를 포함할 수 있다. 그러한 툴들 또는 컴포넌트들은 로드락 및/또는 이송 챔버에 연결된 팩토리 인터페이스를 포함할 수 있다. 일부 경우들에서, 로드락은 이송 챔버와 팩토리 인터페이스 사이에 포지셔닝된다. 그러나, 이러한 구성은 큰 동작 풋프린트를 사용하는 제조 시스템으로 인해 비효율적일 수 있다. 예를 들어, 이러한 구성은 미사용 공간의 긴 폭 및/또는 길이 및 큰 섹션들을 가질 수 있다. 따라서, 증가된 풋프린트 효율로 기판들을 수송하고 제조하기 위한 개선된 전자 디바이스 제조 시스템들, 장치, 및 방법들이 추구된다.
[0003] 설명된 실시예들 중 일부는 전자 디바이스 제조 시스템을 위한 팩토리 인터페이스를 커버한다. 팩토리 인터페이스는 최하부, 최상부, 및 복수의 면들에 의해 정의되는 내부 볼륨을 포함한다. 팩토리 인터페이스는 팩토리 인터페이스의 내부 볼륨 내에 배치된 제1 로드락을 더 포함한다. 제1 팩토리 인터페이스 로봇이 팩토리 인터페이스의 내부 볼륨 내에 배치된다. 제1 팩토리 인터페이스 로봇은 기판 캐리어들의 제1 세트와 제1 로드락 사이에서 기판들을 이송하도록 구성된다.
[0004] 일부 실시예들에서, 전자 디바이스 제조 시스템은 이송 챔버 및 이송 챔버에 연결된 복수의 처리 챔버를 포함한다. 전자 디바이스 제조 시스템은 제1 로드락을 더 포함하고, 제1 로드락은 제1 면, 및 제1 로드락의 제1 면에 대략 직각(perpendicular)인 제2 면을 갖는다. 제1 로드락의 제1 면은 이송 챔버에 연결된다. 전자 디바이스 제조 시스템은 제2 로드락을 더 포함하고, 제2 로드락은 제1 면, 및 제2 로드락의 제1 면에 대략 직각인 제2 면을 갖는다. 제2 로드락의 제1 면은 이송 챔버에 연결된다. 제1 팩토리 인터페이스가 제1 로드락의 제2 면에 연결되고, 제2 팩토리 인터페이스가 제2 로드락의 제2 면에 연결된다.
[0005] 일부 실시예들에서, 기판들을 제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 수송하기 위한 방법은 제1 팩토리 인터페이스 로봇에 의해, 기판 캐리어로부터 기판을 리트리브(retrieving)하는 단계를 포함한다. 방법은 기판을 제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 이송하는 단계를 더 포함한다. 제1 팩토리 인터페이스 로봇 및 제2 팩토리 인터페이스 로봇은 팩토리 인터페이스 내에 배치된다. 방법은 기판을 제2 팩토리 인터페이스 로봇을 통해 팩토리 인터페이스 내에 배치된 로드락 내부에 배치하는 단계를 더 포함한다.
[0006] 본 개시내용은 유사한 참조부호들이 유사한 요소들을 나타내는 첨부 도면들의 도형들에 제한이 아니라 예로서 예시되어 있다. 본 개시내용에서 "일" 실시예 또는 "하나의" 실시예에 대한 상이한 참조들은 반드시 동일한 실시예에 대한 것은 아니며, 이러한 참조들은 적어도 하나를 의미한다는 점에 유의해야 한다.
[0007] 도 1a는 본 개시내용의 양태들에 따른 예시적인 전자 디바이스 제조 시스템의 개략적인 평면도이다.
[0008] 도 1b는 본 개시내용의 양태들에 따른 예시적인 전자 디바이스 제조 시스템의 개략적인 정면도이다.
[0009] 도 1c는 본 개시내용의 양태들에 따른 예시적인 전자 디바이스 제조 시스템의 개략적인 측면도이다.
[0010] 도 1d는 본 개시내용의 양태들에 따른 예시적인 전자 디바이스 제조 시스템의 다른 개략적인 측면도이다.
[0011] 도 2a는 본 개시내용의 양태들에 따른 다른 예시적인 전자 디바이스 제조 시스템의 개략적인 평면도이다.
[0012] 도 2b는 본 개시내용의 양태들에 따른 다른 예시적인 전자 디바이스 제조 시스템의 개략적인 측면도이다.
[0013] 도 3a는 본 개시내용의 양태들에 따른 또 다른 예시적인 전자 디바이스 제조 시스템의 개략적인 평면도이다.
[0014] 도 3b는 본 개시내용의 양태들에 따른 또 다른 예시적인 전자 디바이스 제조 시스템의 개략적인 정면도이다.
[0015] 도 4는 본 개시내용의 양태들에 따른, 기판들을 제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 수송하기 위한 방법이다.
[0016] 본 명세서에 설명된 실시예들은 로드락들을 팩토리 인터페이스 풋프린트 공간에 통합하기 위한 시스템들 및 방법들에 관한 것이다. 실시예들은 전자 디바이스 제조 시스템들의 전체 풋프린트를 감소시키는 팩토리 인터페이스들 및 로드락들에 대한 다수의 상이한 설계들을 커버한다. 일부 실시예들에서, 로드락들은 팩토리 인터페이스의 내부에 통합되고, 따라서 로드락들을 위해 전통적으로 전용화되는 바닥 공간을 감소시킨다. 일부 실시예들에서, 팩토리 인터페이스는 2개의 더 작은 팩토리 인터페이스(예를 들어, 좌측 및 우측 팩토리 인터페이스)로 분할되며, 2개의 더 작은 팩토리 인터페이스 사이에는 하나 이상의 로드락이 포지셔닝된다. 이러한 구성에서, 로드락들과 팩토리 인터페이스들의 조합된 공간의 전체 풋프린트는 전통적인 로드락 및 팩토리 인터페이스 구성에 비해 감소된다. 전자 디바이스들을 위한 제조 설비들(팹(fab)들) 내의 바닥 공간은 매우 비용이 많이 들며, 전자 디바이스 제조 시스템들의 풋프린트의 임의의 감소는 그러한 전자 디바이스 제조 시스템들의 소유 비용을 감소시킬 수 있다. 시스템들의 풋프린트를 감소시키는 것은 또한 소유자가 더 많은 시스템들을 제한된 팹 공간(fab space)에 맞추는 것을 허용하고, 이는 결국 더 많은 웨이퍼들의 처리를 허용한다. 따라서, 본 명세서에 설명된 실시예들은 전자 디바이스 제조 시스템들의 풋프린트 및 전체 소유 비용을 감소시키는 팩토리 인터페이스들 및 로드락들을 제공한다.
[0017] 실시예들에서, 전자 디바이스 제조 시스템을 위한 팩토리 인터페이스는 이송 챔버에 연결된다. 팩토리 인터페이스는 최하부, 최상부, 및 복수의 면들에 의해 정의되는 내부 볼륨을 갖는다. 팩토리 인터페이스의 내부 볼륨 내에는 제1 로드락 및 제2 로드락이 배치된다. 팩토리 인터페이스의 내부 볼륨 내에는 제1 팩토리 인터페이스 로봇 및 제2 팩토리 인터페이스 로봇도 배치될 수 있다. 제1 팩토리 인터페이스 로봇은 기판 캐리어들의 제1 세트와 제1 로드락 사이에서 기판들을 이송하도록 구성될 수 있고, 제2 팩토리 인터페이스 로봇은 기판 캐리어들의 제2 세트와 제2 로드락 사이에서 기판들을 이송하도록 구성될 수 있다.
[0018] 일부 실시예들에서, 복수의 면들은 전자 디바이스 제조 시스템의 이송 챔버를 향하도록 구성되는 후면(back side), 전면(front side), 우측 면 및 좌측 면을 포함한다. 제1 팩토리 인터페이스 로봇은 좌측 면에 근접하게 내부 볼륨 내에 배치될 수 있고, 제2 팩토리 인터페이스 로봇은 우측 면에 근접하게 내부 볼륨 내에 배치될 수 있다. 제1 로드락 및 제2 로드락은, 제1 로드락이 제2 로드락보다 제1 팩토리 인터페이스 로봇에 더 가깝고, 제2 로드락이 제1 로드락보다 제2 팩토리 인터페이스 로봇에 더 가깝도록, 후면에 인접하게 그리고 제1 팩토리 인터페이스 로봇과 제2 팩토리 인터페이스 로봇 사이에 배치된다.
[0019] 일부 실시예들에서, 팩토리 인터페이스는 기판 캐리어들의 제1 세트를 수용하기 위한 로드 포트들의 제1 세트, 및 기판 캐리어들의 제2 세트를 수용하기 위한 로드 포트들의 제2 세트를 포함한다. 로드 포트들의 제1 세트는 좌측 면에 근접한, 전면의 제1 부분에 포지셔닝될 수 있다. 로드 포트들의 제2 세트는 우측 면에 근접한, 전면의 제2 부분에 포지셔닝될 수 있다.
[0020] 일부 실시예들에서, 팩토리 인터페이스는 팩토리 인터페이스의 내부 볼륨 내에서 제1 로드락 및/또는 제2 로드락의 위 또는 아래에 배치된 비아(via)를 포함한다. 실시예들에서, 비아는 제1 팩토리 인터페이스 로봇 및 제2 팩토리 인터페이스 로봇으로부터 동일한 도달범위(reach)에 있다(예를 들어, 조합된 제1 및 제2 로드락 바디들의 중심선에 포지셔닝될 수 있다). 실시예들에서, 비아는 제1 팩토리 인터페이스 로봇에 더 가깝거나 제2 팩토리 인터페이스 로봇에 더 가깝도록 팩토리 인터페이스의 중심으로부터 오프셋된다. 제1 팩토리 인터페이스 로봇은 기판을 비아를 통해 제2 팩토리 인터페이스 로봇으로 이송하도록 구성될 수 있다.
[0021] 일부 실시예들에서, 팩토리 인터페이스의 면들은 전자 디바이스 제조 시스템의 이송 챔버를 향하도록 구성되는 후면, 우측 면, 및 좌측 면을 포함할 수 있다. 제1 팩토리 인터페이스 로봇은 좌측 면에 근접하게 내부 볼륨 내에 배치될 수 있고, 제1 로드락은 후면에 인접하게 그리고 제1 팩토리 인터페이스 로봇과 우측 면 사이에 배치될 수 있다. 또한, 제2 팩토리 인터페이스 로봇은 우측 면에 근접하게 내부 볼륨 내에 배치될 수 있고, 제2 로드락은 후면에 인접하게 그리고 제2 팩토리 인터페이스 로봇과 좌측 면 사이에 배치될 수 있다.
[0022] 일부 실시예들에서, 제1 로드락은 후면에 대략 직각이고 제1 팩토리 인터페이스 로봇에 의해 접근가능한 제1 도어를 포함할 수 있다. 제1 로드락은, 후면에 대략 평행하고 이송 챔버 로봇에 의해 접근가능한 제2 도어를 더 포함할 수 있다. 제2 로드락은 후면에 대략 직각이고 제2 팩토리 인터페이스 로봇에 의해 접근가능한 제3 도어를 포함할 수 있다. 제2 로드락은, 후면에 대략 평행하고 이송 챔버 로봇에 의해 접근가능한 제4 도어를 더 포함할 수 있다. 일부 실시예들에서, 제1 로드락 및 제2 로드락은 배치 로드락(batch load lock)을 포함할 수 있다. 일부 실시예들에서, 추가의 로드락이 팩토리 인터페이스의 내부 볼륨 내에 그리고 제1 로드락 아래에 배치된다.
[0023] 일부 실시예들에서, 팩토리 인터페이스의 면들은 전자 디바이스 제조 시스템의 이송 챔버를 향하도록 구성되는 후면, 전면, 우측 면, 및 좌측 면을 포함할 수 있다. 전면은 중앙 부분, 좌측 전방 부분, 및 우측 전방 부분을 포함할 수 있다. 중앙 부분은 좌측 전방 부분, 우측 전방 부분, 및 후면으로부터 멀어지게 돌출될 수 있고, 기판 캐리어들의 제1 세트로부터 제1 기판 캐리어를 수용하기 위한 제1 로드 포트가 좌측 전방 부분 상의 제1 포지션에 포지셔닝되고, 기판 캐리어들의 제1 세트로부터 제2 기판 캐리어를 수용하기 위한 제2 로드 포트가 우측 전방 부분 상의 제2 포지션에 포지셔닝된다.
[0024] 로드락들 및 팩토리 인터페이스를 단일 볼륨 내에 통합하는 시스템을 제공함으로써, 전자 디바이스 제조 시스템에는 증가된 풋프린트 효율이 제공된다. 구체적으로, 이전의 전자 디바이스 제조 시스템 설계들은 이송 챔버와 팩토리 인터페이스 사이에 로드락(들)을 포지셔닝하며, 이는 제조 시스템에 긴 프로파일을 제공한다. 본 개시내용의 일부 실시예들에서, 로드락들 및 팩토리 인터페이스는 단일 볼륨 내에 통합되고, 하나 이상의 팩토리 인터페이스 로봇이 볼륨 내에 그리고 로드락들의 면들에 배치된다. 따라서, 제조 시스템은 예를 들어 2.5 피트의 감소된 깊이를 갖는다. 따라서, 이것은 볼륨의 제곱미터당 추가적인 처리량을 허용하며, 이는 전체 시스템 수율 및/또는 비용을 개선할 수 있다.
[0025] 도 1a 내지 도 1d는 하나 이상의 로드락(120A-B)이 팩토리 인터페이스(106)의 볼륨 내에 통합되는 전자 디바이스 제조 시스템(100)을 설명한다. 도 1a는 본 개시내용의 양태들에 따른 예시적인 전자 디바이스 제조 시스템(100)의 개략적인 평면도이다. 도 1b는 본 개시내용의 양태들에 따른 예시적인 전자 디바이스 제조 시스템(100)의 개략적인 정면도이다. 도 1c 및 도 1d는 본 개시내용의 양태들에 따른 예시적인 전자 디바이스 제조 시스템(100)의 개략적인 측면도들이다. 도 1a 내지 도 1d는 예시적인 목적들을 위해 사용되고, 상이한 컴포넌트가 각각의 뷰와 관련하여 상이한 로케이션에 포지셔닝될 수 있다는 점에 유의한다.
[0026] 전자 디바이스 제조 시스템(100)(전자 처리 시스템으로 또한 지칭됨)은 기판(102) 상에서 하나 이상의 프로세스를 수행하도록 구성된다. 기판(102)은 예를 들어 전자 디바이스들 또는 회로 컴포넌트들을 상부에 제조하기에 적합한 실리콘 함유 디스크 또는 웨이퍼, 패터닝된 웨이퍼, 유리 플레이트 등과 같은 임의의 적절히 강하고 고정된 치수의 평면 물품일 수 있다.
[0027] 전자 디바이스 제조 시스템(100)은 프로세스 툴(예를 들어, 메인프레임)(104) 및 프로세스 툴(104)에 결합된 팩토리 인터페이스(106)를 포함한다. 프로세스 툴(104)은 내부에 이송 챔버(110)를 갖는 하우징(108)을 포함한다. 이송 챔버(110)는 그 주위에 배치되고 그에 결합된 하나 이상의 처리 챔버(프로세스 챔버라고도 함)(114, 116, 118)를 포함한다. 처리 챔버들(114, 116, 118)은 슬릿 밸브들 등과 같은 개개의 포트들을 통해 이송 챔버(110)에 결합될 수 있다.
[0028] 처리 챔버들(114, 116, 118)은 기판들(102) 상에서 임의의 수의 프로세스들을 수행하도록 적응될 수 있다. 각각의 처리 챔버(114, 116, 118) 내에서 동일하거나 상이한 기판 프로세스가 발생할 수 있다. 기판 프로세스들의 예들은 원자 층 퇴적(ALD), 물리 기상 증착(PVD), 화학 기상 증착(CVD), 에칭, 어닐링, 경화, 사전 세정, 금속 또는 금속 산화물 제거 등을 포함한다. 일례에서, 프로세스 챔버들(114) 중 하나 또는 둘 다에서 PVD 프로세스가 수행되고, 프로세스 챔버들(116) 중 하나 또는 둘 다에서 에칭 프로세스가 수행되고, 프로세스 챔버들(118) 중 하나 또는 둘 다에서 어닐링 프로세스가 수행된다. 다른 프로세스들이 그 안의 기판들 상에서 수행될 수 있다. 처리 챔버들(114, 116, 118)은 각각 기판 지지 조립체를 포함할 수 있다. 기판 지지 조립체는 기판 프로세스가 수행되는 동안 기판을 제자리에 유지하도록 구성될 수 있다.
[0029] 이송 챔버(110)는 또한 이송 챔버 로봇(112)을 포함한다. 이송 챔버 로봇(112)은 하나 또는 복수의 암(arm)을 포함할 수 있고, 각각의 암은 각각의 암의 단부에 하나 이상의 엔드 이펙터(end effector)를 포함한다. 엔드 이펙터는 웨이퍼들과 같은 특정 물체들을 핸들링하도록 구성될 수 있다. 대안적으로 또는 추가적으로, 엔드 이펙터는 프로세스 키트 링들과 같은 물체들을 핸들링하도록 구성된다. 일부 실시예들에서, 이송 챔버 로봇(112)은 선택적 컴플라이언스 조립체 로봇 암(SCARA) 로봇, 예컨대 2 링크 SCARA 로봇, 3 링크 SCARA 로봇, 4 링크 SCARA 로봇 등이다.
[0030] 로드락들(120A-B)은 하우징(108) 및 이송 챔버(110)에 결합될 수 있다. 로드락들(120A-B)은 팩토리 인터페이스(106)의 내부 볼륨 내에 배치될 수 있고, 이송 챔버(110) 및 팩토리 인터페이스(106)와 인터페이싱하도록 구성될 수 있다. 일부 실시예들에서, 로드락들(120A-B)은 (기판들이 이송 챔버(110)로 그리고 그로부터 이송되는) 진공 환경으로부터 (기판들이 로드락들 외부에 있는 팩토리 인터페이스(106)의 내부 볼륨으로 그리고 그로부터 이송되는) 대기압 또는 대기압 근처의 불활성 가스 환경으로 변경되는 환경 제어 분위기를 가질 수 있다. 일부 실시예들에서, 도 1b 및 도 1c에 도시된 바와 같이, 로드락들(120A-B)은 각각, 상이한 수직 레벨(vertical level)들(예를 들어, 하나가 다른 하나 위에 있음)에 로케이팅되는 하나 이상(예를 들어, 한 쌍)의 상부 내부 챔버들 및 하나 이상(예를 들어, 한 쌍)의 하부 내부 챔버들을 갖는 적층 로드락(stacked load lock)이다. 일부 실시예들에서, 하나 이상의 상부 내부 챔버는 프로세스 툴(104)로부터의 제거를 위해 이송 챔버(110)로부터 처리된 기판들을 수용하도록 구성되는 반면, 하나 이상의 하부 내부 챔버는 프로세스 툴(104)에서의 처리를 위해 팩토리 인터페이스(106)로부터 기판들을 수용하도록 구성되거나, 그 반대이다. 일부 실시예들에서, 도 1d에 도시된 바와 같이, 로드락들(120A-B) 각각은, 다수의 기판들(예를 들어, 25개의 기판)을 유지 및/또는 이송하도록 구성될 수 있는 배치 로드락이다. 일부 실시예들에서, 로드락들(120A-B)은 내부에 수용된 하나 이상의 기판(102) 상에서 기판 프로세스(예를 들어, 에칭 또는 사전 세정)를 수행하도록 구성된다. 따라서, 로드락들(120A-B)은 기판들을 가열하기 위한 하나 이상의 가열 요소 및/또는 기판들을 냉각하기 위한 냉각 요소들을 포함할 수 있다.
[0031] 팩토리 인터페이스(106)는 예를 들어 장비 프론트 엔드 모듈(EFEM)과 같은 임의의 적절한 인클로저일 수 있다. 팩토리 인터페이스(106)는 팩토리 인터페이스(106)의 다양한 로드 포트들(124)에 도킹된 기판 캐리어들(122A-F)(예를 들어, 전방 개방 통합 포드(FOUP)들)로부터 기판들(102)을 수용하도록 구성될 수 있다. 제1 예에서, 도 1a에 도시된 바와 같이, 팩토리 인터페이스(106)는 팩토리 인터페이스(106)의 전면에서 하나 이상의 높이에 포지셔닝될 수 있는 4개의 로드 포트들(124)을 포함할 수 있다. 제2 예에서, 도 1b에 도시된 바와 같이, 팩토리 인터페이스(106)는 팩토리 인터페이스(106)의 전면에서 하나 이상의 높이에 포지셔닝될 수 있는 6개의 로드 포트들(124)을 포함할 수 있다. 팩토리 인터페이스(106)는 팩토리 인터페이스(106)의 하나 이상의 면에서 동일하거나 상이한 높이들에 로케이팅될 수 있는 임의의 수의 로드 포트들(124)을 갖도록 구성될 수 있다.
[0032] 도 1b에 도시된 바와 같이, 로드 포트들(124)은 팩토리 인터페이스(106)의 벽들을 따라 상이한 높이들에 로케이팅될 수 있다. 로드 포트들(124)을 상승시키는 것은 팩토리 인터페이스(106)의 베이스에서의 하나 이상의 보조 컴포넌트(150)의 배치를 허용한다. 보조 컴포넌트들(150)은 아래에서 더 상세하게 설명될 것이다. 일부 실시예들에서, 도 1b에 도시된 바와 같이, 하나 이상의 로드 포트(124)는 팩토리 인터페이스(106)의 전면 상에서 팩토리 인터페이스 베이스에 또는 그 부근에 로케이팅될 수 있는 반면, 하나 이상의 추가의 로드 포트(124)는 더 높은 높이(예를 들어, 지면으로부터 대략 2 미터)에 로케이팅될 수 있다. 일부 실시예들에서, 하나 이상의 기판 캐리어 엘리베이터(113)는 기판 캐리어(122A-F)를 상승시키도록 구성될 수 있다. 일부 실시예들에서, 기판 캐리어 엘리베이터(113)는 하나 이상의 기판 캐리어(122A-F)를 오버헤드 자동화 컴포넌트(도시되지 않음)로 상승시킬 수 있다. 오버헤드 자동화 컴포넌트는 하나 이상의 기판 캐리어(122A-F)를 하나 이상의 상승된 로드 포트(124)에 전달할 수 있다. 또한, 오버헤드 자동화 컴포넌트는 하나 이상의 상승된 로드 포트(124)로부터 하나 이상의 기판 캐리어(112A-F)를 제거할 수 있다. 일례에서, 팩토리 오퍼레이터는 기판 캐리어(122A-F)를 기판 캐리어 엘리베이터(113) 상에 로딩하고, 엘리베이터를 사용(engage)하여 기판 캐리어(122A-F)를 오버헤드 자동화 컴포넌트로 상승시키고, 오버헤드 자동화 컴포넌트를 사용하여 기판 캐리어(122A-F)를 로드 포트(124)에 전달하고, 이어서 오버헤드 자동화 컴포넌트를 사용하여 일단 비어 있으면 로드 포트로부터 기판 캐리어(122A-F)를 제거하고, 엘리베이터를 사용하여 기판 캐리어(122A-F)를 하강시킬 수 있다.
[0033] 일부 실시예들에서, 적어도 하나의 로드 포트(124)는 하나 이상의 기판 캐리어(112A-F)를 상기 로드 포트(124)에 수동으로 로딩할 수 있는 팩토리 오퍼레이터들에 의해 접근가능한 더 낮은 높이에 포지셔닝될 수 있다. 하나 이상의 추가의 로드 포트(124)가 더 높은 높이에 포지셔닝될 수 있고, 그에 의해 팩토리 오퍼레이터들은 기판 캐리어 엘리베이터(113) 및 오버헤드 자동화 컴포넌트를 사용하여 하나 이상의 기판 캐리어(112A-F)를 상승된 로드 포트들(124)에 로딩할 수 있다. 이러한 구성은 팩토리 인터페이스의 전면의 베이스에서 추가적인 공간을 허용할 수 있고, 그에 의해 그 공간 내에 배치된 컴포넌트는 전자 디바이스 제조 시스템(100)의 동작 풋프린트를 증가시키지 않을 것이다. 예를 들어, 일부 실시예들에서, 보조 컴포넌트들(150)은 로드 포트들(124)로 대체될 수 있다. 예를 들어, 4개 또는 6개의 로드 포트(124)는 팩토리 인터페이스(106)의 전면 상에서 팩토리 인터페이스 베이스에 또는 그 근처에 로케이팅될 수 있다. 일부 다른 실시예들에서, 하나 이상의 로드 포트(124)는 팩토리 인터페이스(106)의 측벽 상에 로딩될 수 있다.
[0034] 팩토리 인터페이스 로봇들(126A-B)은 기판 캐리어들(컨테이너들로 또한 지칭됨)(122A-F)과 로드락들(120A-B) 사이에서 기판들(102)을 이송하도록 구성될 수 있다. 일 실시예에서, 팩토리 인터페이스(106)는 2개 이상의 팩토리 인터페이스 로봇을 포함한다. 예를 들어, 팩토리 인터페이스(106)는 팩토리 인터페이스 내에서 팩토리 인터페이스(106)의 제1 면(예를 들어, 좌측 면)에 배치된 제1 팩토리 인터페이스 로봇(126A), 및 팩토리 인터페이스 내에서 팩토리 인터페이스(106)의 제2 면(예를 들어, 우측 면)에 배치된 제2 팩토리 인터페이스 로봇(126B)을 포함할 수 있다. 일 실시예에서, 제1 및 제2 로드락들(120A, 120B)은 팩토리 인터페이스(106) 내에서 제1 및 제2 팩토리 인터페이스 로봇들(126A, 126B) 사이에 배치되고, 로드락(120A)은 팩토리 인터페이스 로봇(126A)에 더 가깝고, 로드락(120B)은 팩토리 인터페이스 로봇(126B)에 더 가깝다.
[0035] 일례에서, 팩토리 인터페이스 로봇(126A)은 기판 캐리어들의 제1 세트(예를 들어, 기판 캐리어들(122A-B, 122E))와 로드락(120A) 사이에서 기판들(102)을 이송하도록 구성될 수 있다. 다른 예에서, 팩토리 인터페이스 로봇(126B)은 기판 캐리어들의 제2 세트(예를 들어, 기판 캐리어들(122C-D, 122-F)와 로드락(120B) 사이에서 기판들(102)을 이송하도록 구성될 수 있다. 그러나, 팩토리 인터페이스 로봇들(126A-B)은 기판 캐리어들(122A-F) 중 임의의 것과 로드락들(120A-B) 사이에서 기판들(102)을 이송하도록 구성될 수 있다는 점에 유의한다. 다른 그리고/또는 유사한 실시예들에서, 팩토리 인터페이스(106)는 교체 부품 저장 컨테이너들로부터 교체 부품들을 수용하도록 구성되고, 팩토리 인터페이스 로봇들(126A-B)은 그러한 교체 부품들을 로드락들(120A-B) 중 하나 이상 내로 그리고 밖으로 수송하도록 구성된다. 일부 실시예들에서, 팩토리 인터페이스 로봇(126A)은 로드락(120B)에 접근할 수 없고, 팩토리 인터페이스 로봇(128B)은 로드락(120A)에 접근할 수 없다.
[0036] 팩토리 인터페이스 로봇들(126A-B)은 하나 이상의 로봇 암을 포함할 수 있고, 각각 SCARA 로봇일 수 있거나 이를 포함할 수 있다. 일부 실시예들에서, 팩토리 인터페이스 로봇들(126A-B)은 이송 챔버 로봇(112)보다 더 많은 링크들 및/또는 더 많은 자유도들을 갖는다. 팩토리 인터페이스 로봇들(126A-B) 각각은 개개의 팩토리 인터페이스 로봇들(126A-B)의 로봇 암들 중 하나 이상의 높이를 조정하여, 팩토리 인터페이스 로봇들(126A-B)이 상이한 높이들에서 로드 포트들에 연결된 캐리어들에 도달할 수 있게 할 수 있는 액추에이터들을 포함할 수 있다. 팩토리 인터페이스 로봇들(126A-B)은 각각, 각각의 로봇 암의 단부 상에 엔드 이펙터를 포함할 수 있다. 엔드 이펙터는 웨이퍼들과 같은 특정 물체들을 픽업하고 핸들링하도록 구성될 수 있다. 대안적으로 또는 추가적으로, 엔드 이펙터는 프로세스 키트 링들과 같은 물체들을 핸들링하도록 구성될 수 있다. 임의의 전통적인 로봇 유형이 팩토리 인터페이스 로봇들(126A-B)을 위해 사용될 수 있다. 이송들은 임의의 순서 또는 방향으로 수행될 수 있다.
[0037] 팩토리 인터페이스(106)는 일부 실시예들에서 (예를 들어, 질소를 비반응성 가스로서 사용하는) 예를 들어 약간 양의 압력의 비반응성 가스 환경에 유지될 수 있다. 일부 실시예들에서, 팩토리 인터페이스(106)는 하나 이상의 불활성 가스 전달 라인, 하나 이상의 배기 라인, 및 습도, O2 레벨, 온도, 압력, 가스 유량들 및/또는 다른 파라미터들 중 하나 이상을 측정하기 위해 사용가능한 하나 이상의 센서를 갖는 환경 제어 시스템을 포함한다. 환경 제어 시스템은 하나 이상의 측정된 파라미터에 기초하여 팩토리 인터페이스 내로 유동되는 가스들 및/또는 가스들의 유량들, 및/또는 팩토리 인터페이스로부터 배기되는 가스들의 유량들을 조정할 수 있다. 실시예들에서, 팩토리 인터페이스는 팩토리 인터페이스로부터 배기되는 가스들을 필터링하고, 필터링된 가스들을 팩토리 인터페이스의 내부로 다시 재순환시킬 수 있는 재순환 시스템을 더 포함한다.
[0038] 로드락들(120A-B) 각각은 팩토리 인터페이스 로봇들(126A-B) 및 이송 챔버 로봇(112)으로 그리고/또는 그들로부터 기판들을 수용 또는 방출할 때 개방되도록 구성된 하나 이상의 슬릿 밸브 및/또는 도어를 포함할 수 있다. 슬릿 밸브들 및/또는 도어들은 진공 환경, 청정 환경 및/또는 온도 제어 환경을 유지하기 위해 사용될 수 있다. 예를 들어, 슬릿 밸브들 및/또는 도어들은 이송 챔버(110) 내의 진공 환경 및 팩토리 인터페이스(106) 내의 불활성 가스 환경을 유지하기 위해 사용될 수 있다. 로드락(120A)은 팩토리 인터페이스 로봇(126A)에의 접근을 승인할 수 있는 하나의(도 1d에 도시된 바와 같음) 또는 다수의(도 1c에 도시된 바와 같음) 면 도어(128A)를 포함할 수 있다. 로드락(120B)은 팩토리 인터페이스 로봇(126B)에의 접근을 승인할 수 있는 하나 이상의 면 도어(128B)를 포함할 수 있다. 로드락들(120A-B)은 이송 챔버 로봇(112)에의 접근을 승인하는 하나 이상의 전방 도어(도시되지 않음)를 포함할 수 있다.
[0039] 도시된 바와 같이, 일 실시예에서, 면 도어(128A)는 팩토리 인터페이스(106)의 후면에 대략 직각이고, 또한 도어(130)에 대략 직각이다. 유사하게, 일 실시예에서, 면 도어(128B)는 팩토리 인터페이스(106)의 후면에 대략 직각이고, 또한 도어(130)에 대략 직각이다. 면 도어(128A)는 면 도어(128B)와 반대 방향을 향할 수 있다. 도시되지 않았지만, 하나 이상의 추가적인 면 도어가 로드락들(120A, 120B)에 포함될 수 있고, 하나 이상의 추가적인 면 도어는 로드락들(120A-B) 사이에 있고 이들을 분리한다. 예를 들어, 로드락(120B)의 추가적인 면 도어는 면 도어(128B)의 반대쪽에 있을 수 있고, 팩토리 인터페이스 로봇(126B)이 기판들을 로드락(120A)에 배치하는 것을 허용하고, 팩토리 인터페이스 로봇(126A)이 기판들을 로드락(120B)에 배치하는 것을 허용하고/하거나, 팩토리 인터페이스 로봇(126A)과 팩토리 인터페이스 로봇(126B) 사이의 기판들의 핸드오프를 허용하기 위해 개방될 수 있다.
[0040] 실시예들에서, 팩토리 인터페이스 로봇들(126A-B)은 컨테이너들(122A-F)로부터 기판들을 리트리브하고/하거나 그들 내에 기판들을 배치할 때 팩토리 인터페이스의 전면을 향하고 그에 대략 직각인 제1 방향으로 엔드 이펙터들을 배향할 수 있다. 실시예들에서, 팩토리 인터페이스 로봇(126A)은 로드락(120A)으로부터 기판들을 리트리브하고/하거나 그 안에 기판들을 배치할 때 제1 방향에 대략 직각일 수 있는 제2 방향으로 하나 이상의 엔드 이펙터를 배향할 수 있다. 유사하게, 팩토리 인터페이스 로봇(126B)은 로드락(120B)으로부터 기판들을 리트리브하고/하거나 그 안에 기판들을 배치할 때 제1 방향에 대략 직각일 수 있는 제3 방향으로 하나 이상의 엔드 이펙터를 배향할 수 있다. 제3 방향은 실시예들에서 제2 방향으로부터 대략 180도일 수 있다.
[0041] 일부 실시예들에서, 이송 챔버(110), 프로세스 챔버들(114, 116, 118) 및/또는 로드락들(120A-B)은 진공 레벨에 유지된다. 전자 디바이스 제조 시스템(100)은 전자 디바이스 제조 시스템(100)의 하나 이상의 스테이션에 결합되는 하나 이상의 진공 포트를 포함할 수 있다. 예를 들어, 진공 포트들(130)은 로드락들(120A-B)에 결합되고 로드락들(120A-B)과 이송 챔버(110) 사이에 배치될 수 있다. 일부 실시예들에서, 추가의 진공 포트들이 사용될 수 있다. 예를 들어, 추가의 진공 포트들(도시되지 않음)은 팩토리 인터페이스(106)를 로드락들(120A-B)에 결합할 수 있다. 일부 실시예들에서, 팩토리 오퍼레이터는 팩토리 인터페이스(106)를 셧다운하지 않고서 유지보수 또는 수리를 위해 로드락들(120A-B)에 접근할 수 있다. 이것은 아래에서 더 상세히 논의될 것이다.
[0042] 일부 실시예들에서, 하나 이상의 유틸리티 라인(도시되지 않음)이 유틸리티들을 팩토리 인터페이스(106)에 제공하도록 구성된다. 유틸리티 라인들은 팩토리 인터페이스(106)에 전력을 제공하도록 구성된 전력 유틸리티 라인, 팩토리 인터페이스(106)에 공기를 제공하도록 구성된 공기 유틸리티 라인(예를 들어, 청정 건조 공기(CDA) 유틸리티 라인), 진공 포트들(130) 및/또는 팩토리 인터페이스(106)의 내부 챔버에 진공을 제공하도록 구성된 진공 유틸리티 라인, 및/또는 팩토리 인터페이스(106)에 질소를 제공하도록 구성된 질소 유틸리티 라인을 포함할 수 있다.
[0043] 하나 이상의 유틸리티 케이블이 하나 이상의 유틸리티 라인을 보호하도록 구성될 수 있다. 예를 들어, 각각의 유틸리티 라인은 유틸리티 케이블 내에 둘러싸일 수 있다. 다수의 유틸리티 라인들은 동일한 유틸리티 케이블 내에 둘러싸일 수 있고/있거나, 유틸리티 라인들은 별개의 유틸리티 케이블들 내에 포함될 수 있다. 각각의 유틸리티 케이블의 제1 단부는 유틸리티 공급부(예를 들어, 전력 공급부, 공기 공급부, 진공 펌프, 질소 공급부 등)의 유출구에 장착될 수 있다. 일부 실시예들에서, 유틸리티 공급부의 유출구는 전자 디바이스 제조 시스템(100)의 바닥(또는 벽)에 연결된다. 따라서, 각각의 유틸리티 케이블의 제1 단부는 팹의 지면(예를 들어, 팩토리 인터페이스(106)가 설치되는 지면)에 장착될 수 있다. 각각의 유틸리티 케이블의 제2 단부는 팩토리 인터페이스(106)의 유입구에 장착될 수 있다. 일부 실시예들에서, 유입구는 팩토리 인터페이스(106)의 최하부에 로케이팅된다. 따라서, 각각의 유틸리티 케이블의 제2 단부는 팩토리 인터페이스(106)의 최하부에 장착된다.
[0044] 전자 디바이스 제조 시스템(100)은 또한 시스템 컨트롤러(140)를 포함할 수 있다. 시스템 컨트롤러(140)는 개인용 컴퓨터, 서버 컴퓨터, 프로그래머블 로직 컨트롤러(PLC), 마이크로컨트롤러 등과 같은 컴퓨팅 디바이스일 수 있고/있거나 이를 포함할 수 있다. 시스템 컨트롤러(140)는 마이크로프로세서, 중앙 처리 유닛 등과 같은 범용 처리 디바이스들일 수 있는 하나 이상의 처리 디바이스를 포함할 수 있다. 보다 구체적으로, 처리 디바이스는 복합 명령어 세트 컴퓨팅(CISC) 마이크로프로세서, 축소 명령어 세트 컴퓨팅(RISC) 마이크로프로세서, 매우 긴 명령어 워드(VLIW) 마이크로프로세서, 또는 다른 명령어 세트들을 구현하는 프로세서 또는 명령어 세트들의 조합을 구현하는 프로세서들일 수 있다. 처리 디바이스는 또한 주문형 집적 회로(ASIC), 필드 프로그래머블 게이트 어레이(FPGA), 디지털 신호 프로세서(DSP), 네트워크 프로세서 등과 같은 하나 이상의 특수 목적 처리 디바이스일 수 있다. 시스템 컨트롤러(140)는 데이터 저장 디바이스(예를 들어, 하나 이상의 디스크 드라이브 및/또는 솔리드 스테이트 드라이브), 메인 메모리, 정적 메모리, 네트워크 인터페이스, 및/또는 다른 컴포넌트들을 포함할 수 있다. 시스템 컨트롤러(128)는 본 명세서에 설명된 방법론들 및/또는 실시예들 중 임의의 하나 이상을 수행하기 위한 명령어들을 실행할 수 있다. 명령어들은 (명령어들의 실행 동안) 메인 메모리, 정적 메모리, 2차 저장 및/또는 처리 디바이스를 포함할 수 있는 컴퓨터 판독 가능 저장 매체 상에 저장될 수 있다. 시스템 컨트롤러(140)는 팩토리 인터페이스(106) 내의 환경(예를 들어, 압력, 수분 레벨, 진공 레벨 등)을 제어하도록 구성된 환경 컨트롤러를 포함할 수 있다. 실시예들에서, 시스템 컨트롤러(140)에 의한 명령어들의 실행은 시스템 컨트롤러로 하여금 도 4의 하나 이상의 방법을 수행하게 한다. 시스템 컨트롤러(140)는 또한 사람 오퍼레이터에 의한 데이터, 동작 커맨드들 등의 입력 및 디스플레이를 허용하도록 구성될 수 있다.
[0045] 이제 도 1a 및 도 1b를 참조하면, 일부 실시예들에서, 팩토리 인터페이스 로봇(126A)은 통과 영역(115A, 115B, 115C)을 사용하여 하나 이상의 기판을 팩토리 인터페이스 로봇(126B)에 이송하도록 구성되거나, 그 반대이다. 제1 예에서, 통과 영역(115A)은 도 1a에 도시된 바와 같이 팩토리 인터페이스의 전면과 로드락들의 전방을 향하는 면 사이에 배치될 수 있다. 제2 예에서, 통과 영역(115B)은 로드락들(120A-B) 위에서 팩토리 인터페이스(106)의 내부 볼륨 내에 배치된 비아 또는 개방 공간일 수 있다. 제3 예에서, 통과 영역(115C)은 로드락들(120A-B)의 한 쌍의 상부 내부 챔버들과 한 쌍의 하부 내부 챔버들 사이에서 팩토리 인터페이스(106)의 내부 볼륨 내에 배치된 개방 공간 또는 비아일 수 있다(예를 들어, 로드락들(120A-B)은 각각 적층 로드락임). 제4 예에서, 통과 영역(115D)은 로드락들(120A-B) 아래에서 팩토리 인터페이스(106)의 내부 볼륨 내에 배치된 개방 공간 또는 비아일 수 있다. 팩토리 인터페이스 로봇(126A)은 실시예들에서 하나 이상의 기판을 통과 영역들(115A-D) 중 하나 이상을 통해 팩토리 인터페이스 로봇(126B)으로 이송하도록 구성될 수 있다.
[0046] 일부 실시예들에서, 팩토리 인터페이스 로봇(126A)은 기판(들)을 팩토리 인터페이스 로봇(126B)으로 핸드오프할 수 있고, 그 반대도 가능하다. 일부 실시예들에서, 팩토리 인터페이스 로봇(126A)은 팩토리 인터페이스에 포함되는 통과 영역들(115A-D) 중 임의의 것 내의 선반 상에 기판(들)을 배치할 수 있고, 팩토리 인터페이스 로봇(126B)은 선반으로부터 기판(들)을 리트리브할 수 있다.
[0047] 도 1b 내지 도 1d를 참조하면, 팩토리 인터페이스(106)는 팩토리 인터페이스 로봇들에 의해 접근가능하고 팩토리 인터페이스 미니-환경의 일부인 하나 이상의 보조 컴포넌트(150)를 포함할 수 있다. 보조 컴포넌트들(150)은 기판 웨이퍼 저장 스테이션들, 계측 스테이션들, 냉각 스테이션들, 서버들 등을 포함할 수 있다. 예를 들어, 기판 저장 컨테이너는 기판들 및/또는 기판 캐리어들(예를 들어, FOUP들)을 저장할 수 있다. 계측 장비는 전자 디바이스 제조 시스템(100)에 의해 생성된 제품들의 속성 데이터를 결정하기 위해 사용될 수 있다. 일부 실시예들에서, 팩토리 인터페이스(106)는 도 1b에 도시된 바와 같이 상부 구획(160)을 포함할 수 있다. 상부 구획(160)은 전자 시스템들(예를 들어, 서버들, 에어컨 유닛들 등), 유틸리티 케이블들, 시스템 컨트롤러(140), 또는 다른 컴포넌트들을 하우징할 수 있다.
[0048] 팩토리 인터페이스(106)는 로드락들(120A-B), 팩토리 인터페이스 로봇들(126A-B), 또는 다른 컴포넌트들을 검사하거나 그들에 대한 유지보수를 수행하기 위해 사용될 수 있는 하나 이상의 접근 도어(134, 136)를 포함할 수 있다. 일부 실시예들에서, 팩토리 인터페이스는 면 접근 도어들(134)을 포함할 수 있다. 일부 실시예들에서, 팩토리 인터페이스(106)는 전방 접근 도어(136)를 포함할 수 있다. 로드락들(120A-B)은 면 도어들(128A-B)을 통해 팩토리 인터페이스(106)에 의해 생성된 청정 환경으로부터 절연될 수 있다. 이것은 팩토리 오퍼레이터가 팩토리 인터페이스(106)에 의해 생성된 청정 환경을 셧다운하지 않고서 로드락들(120A-B)에 접근하는 것을 허용한다.
[0049] 도 1a, 도 1c, 도 1d의 예시된 실시예들에서, 팩토리 인터페이스의 전방과 로드락들(120A-B)의 전방 사이에 개방 공간(예를 들어, 통과 영역(115A))이 존재한다. 대안적인 실시예들에서, 로드락들(120A, 120B)은 팩토리 인터페이스(106)의 전방까지 계속 연장될 수 있다. 이러한 실시예에서, 로드락들은 팩토리 인터페이스(106)의 내부 볼륨을 외부 환경에 노출시키지 않고서 접근될 수 있다. 일 실시예에서, 로드락들(120A, 120B)은 도어들(130)에 대해 로드락들의 반대쪽들에 있는 추가의 접근 도어들(도시되지 않음)을 포함한다. 이러한 접근 도어들은 팩토리 인터페이스(106)의 내부를 외부 환경에 노출시키지 않고서 로드락들(120A-B)에 대한 유지보수 접근을 가능하게 하기 위해 도어들(130, 128A, 128B)이 폐쇄되는 동안 개방될 수 있다.
[0050] 일부 실시예들에서, 도 1b에 도시된 바와 같이, 팩토리 인터페이스(106)는 하부 접근 영역(under access area)(170)을 포함할 수 있다. 하부 접근 영역(170)은 팩토리 오퍼레이터가 전자 디바이스 제조 시스템(100)의 팩토리 인터페이스(106), 로드락들(120A-B), 팩토리 인터페이스 로봇들(126A-B), 이송 챔버(108), 및/또는 다른 컴포넌트들에 대한 유지보수를 제공하는 것을 허용하는 채널일 수 있다. 팩토리 인터페이스가 하부 접근 영역(170)을 포함하는 일부 실시예들에서, 로드락들(120A, 120B)은 하부 접근 영역(170)으로부터 도달가능한 로드락들(120A, 120B)의 밑면 상의 유지보수 접근 도어들을 포함한다.
[0051] 설명적인 예에서, 팩토리 인터페이스(106)는 전자 디바이스 제조 시스템(100)의 이송 챔버(110)를 향하도록 구성된 후면, 전면, 우측 면 및 좌측 면을 포함하는 복수의 면들을 포함한다. 제1 팩토리 인터페이스 로봇(예를 들어, 팩토리 인터페이스 로봇(126A))은 좌측 면에 근접하게 내부 볼륨 내에 배치되고, 제2 팩토리 인터페이스 로봇(예를 들어, 팩토리 인터페이스 로봇(126B))은 우측 면에 근접하게 내부 볼륨 내에 배치된다. 제1 로드락(예를 들어, 로드락(120A)) 및 제2 로드락(예를 들어, 로드락(120B))은, 제1 로드락이 제2 로드락보다 제1 팩토리 인터페이스 로봇에 더 가깝고, 제2 로드락이 제1 로드락보다 제2 팩토리 인터페이스 로봇에 더 가깝도록, 후면에 인접하게 그리고 제1 팩토리 인터페이스 로봇과 제2 팩토리 인터페이스 로봇 사이에 배치된다. 팩토리 인터페이스(106)는 기판 캐리어들의 제1 세트(예를 들어, 기판 캐리어들(122A-F) 중 하나 이상)을 수용하기 위한 로드 포트들의 제1 세트(예를 들어, 로드 포트들(122) 중 하나 이상)을 포함하고, 로드 포트들의 제1 세트는 좌측 면에 근접한, 전면의 제1 부분에 포지셔닝된다. 팩토리 인터페이스(106)는 또한 기판 캐리어들의 제2 세트(예를 들어, 기판 캐리어들(122A-F) 중 하나 이상)을 수용하기 위한 로드 포트들의 제2 세트(예를 동어, 로드 포트들(122) 중 하나 이상)을 포함하고, 로드 포트들의 제2 세트는 우측 면에 근접한, 전면의 제2 부분에 포지셔닝된다. 팩토리 인터페이스(106)는 로드 포트들의 제1 세트 중의 로드 포트(122) 아래에 포지셔닝된 기판 저장 컨테이너 또는 계측 장비 중 적어도 하나를 포함할 수 있다. 복수의 면들은 이송 챔버(110)를 향하도록 구성된 후면, 우측 면 및 좌측 면을 포함할 수 있고, 제1 팩토리 인터페이스 로봇은 좌측 면에 근접하게 내부 볼륨 내에 배치되고, 제1 로드락은 후면에 인접하게 그리고 제1 팩토리 인터페이스 로봇과 우측 면 사이에 배치된다. 제1 로드락은 후면에 대략 직각이고 제1 팩토리 인터페이스 로봇에 의해 접근가능한 제1 도어(예를 들어, 면 도어(128A)), 및 후면에 대략 평행하고 이송 챔버 로봇(112)에 의해 접근가능한 제2 도어를 포함할 수 있다.
[0052] 도 2a는 본 개시내용의 일 실시예에 따른, 프로세스 툴(204) 및 프로세스 툴(204)에 결합된 팩토리 인터페이스(206)를 포함하는 전자 디바이스 제조 시스템(200)의 개략적인 평면도이다. 프로세스 툴(204) 및 팩토리 인터페이스(206)의 컴포넌트들 및 기능들은 각각 프로세스 툴(104) 및 팩토리 인터페이스(206)와 유사할 수 있다. 도 2a 및 도 2b는 도 1a 내지 도 1d를 참조하여 설명된 것과 유사한 예시적인 전자 디바이스 제조 시스템(200)을 설명하지만, 여기서 팩토리 인터페이스(206)는 팩토리 인터페이스(106)의 전면에 불룩한 섹션(bulged section)(210)을 포함한다. 도 2a는 본 개시내용의 양태들에 따른 예시적인 전자 디바이스 제조 시스템(200)의 개략적인 평면도이다. 도 2b는 본 개시내용의 양태들에 따른 예시적인 전자 디바이스 제조 시스템(200)의 개략적인 측면도이다. 불룩한 섹션(210)은 팩토리 인터페이스(206) 내에 추가적인 공간을 제공할 수 있다. 불룩한 섹션(210)은 로드락들(120A-B)로부터 멀어지게 임의의 길이로 연장될 수 있고, 팩토리 인터페이스(206)의 나머지의 높이와 무관한 높이를 갖는 최상부 섹션(예를 들어, 천장)을 가질 수 있다. 예를 들어, 불룩한 섹션(210)의 최상부 섹션의 높이는 1 미터, 2 미터, 팩토리 인터페이스(206)의 최상부 섹션과 동일한 높이, 팩토리 인터페이스(206)의 최상부 섹션보다 더 높은 높이, 또는 어떤 다른 높이일 수 있다. 일부 실시예들에서, 불룩한 섹션(210)은 팩토리 인터페이스(206)의 동작 풋프린트를 증가시키지 않기 위해 기판 캐리어들(122A-D)의 단부들로 연장될 수 있다. 따라서, 실시예들에서, 불룩한 섹션(210)의 전면은 캐리어들(122A-F)의 전방과 대략 동일한 높이에 있을 수 있다. 일부 실시예들에서, 불룩한 섹션(210)은 기판 저장 컨테이너들, 계측 장비, 서버들, 에어컨 유닛들 등과 같은, 그러나 이에 한정되지 않는 다양한 컴포넌트들을 하우징하기 위한 추가적인 공간을 팩토리 인터페이스(206)에 제공한다. 불룩한 섹션(210)은 팩토리 인터페이스(206)의 청정 환경을 공유할 수 있다.
[0053] 일부 실시예들에서, 팩토리 인터페이스 로봇(126A)은 불룩한 섹션(210) 내에 배치된 통과 영역(115)을 사용하여 하나 이상의 기판을 팩토리 인터페이스 로봇(126B)에 이송하도록 구성될 수 있거나, 그 반대일 수 있다. 예를 들어, 팩토리 인터페이스 로봇(126A)은 불룩한 섹션(210) 내에 배치된 통과 영역을 사용하여 기판(들)을 팩토리 인터페이스 로봇(126B)에 핸드오프할 수 있다. 다른 예에서, 팩토리 인터페이스 로봇(126A)은 불룩한 섹션(210) 내에 배치된 통과 영역 내의 선반 상에 기판(들)을 배치할 수 있고, 팩토리 인터페이스 로봇(126B)은 선반으로부터 기판(들)을 리트리브할 수 있다.
[0054] 일부 실시예들에서, 로드락들은 팩토리 인터페이스 내에 포함되지 않는다. 그러한 실시예들에서, 팩토리 인터페이스는 2개 이상의 팩토리 인터페이스(예를 들어, 좌측 및 우측 팩토리 인터페이스)로 분할될 수 있다. 이어서, 로드락들은 좌측 및 우측 팩토리 인터페이스들 사이에 포지셔닝될 수 있다. 이것은 위에서 설명된 실시예들과 동일한 방식으로 팩토리 인터페이스 및 로드락들의 전체 조합된 풋프린트를 감소시킬 수 있다.
[0055] 설명적인 예에서, 팩토리 인터페이스(206)의 전면은 중앙 부분(예를 들어, 불룩한 섹션(210)), 좌측 전방 부분, 및 우측 전방 부분을 포함할 수 있고, 중앙 부분은 좌측 전방 부분, 우측 전방 부분, 및 후면으로부터 멀어지게 돌출되고, 제1 로드 포트(예를 들어, 로드 포트들(122) 중 하나)가 좌측 전방 부분 상의 제1 포지션에 포지셔닝되고, 제2 로드 포트(예를 들어, 로드 포트들(122) 중 다른 하나)가 우측 전방 부분 상의 제2 포지션에 포지셔닝된다. 중앙 부분에서 팩토리 인터페이스(206)의 내부 볼륨 내에 배치된 비아는 비아를 통해 기판을 제2 팩토리 인터페이스 로봇(예를 들어, 팩토리 인터페이스 로봇(126B))에 이송하도록 구성된 제1 팩토리 인터페이스 로봇(예를 들어, 팩토리 인터페이스 로봇(126A))에 의해 사용될 수 있다.
[0056] 도 3a 및 도 3b는 제1 로드락(예를 들어, 로드락(120A))이 제1 팩토리 인터페이스(예를 들어, 팩토리 인터페이스(306A))에 연결되고 제2 로드락(예를 들어, 로드락(120B))이 제2 팩토리 인터페이스(예를 들어, 팩토리 인터페이스(306B))에 연결되는 전자 디바이스 제조 시스템(300)을 설명한다. 도 3a는 본 개시내용의 양태들에 따른 예시적인 전자 디바이스 제조 시스템(300)의 개략적인 평면도이다. 도 3b는 본 개시내용의 양태들에 따른 예시적인 전자 디바이스 제조 시스템(300)의 개략적인 정면도이다.
[0057] 전자 디바이스 제조 시스템(300)은 프로세스 툴(304), 프로세스 툴(304)에 결합된 로드락들(320A-B), 및 팩토리 인터페이스들(306A-B)을 포함하고, 팩토리 인터페이스(306A)는 로드락(320A)에 결합되고, 팩토리 인터페이스(306B)는 로드락(320B)에 결합된다. 프로세스 툴(304), 로드락들(320A-B), 및 팩토리 인터페이스들(306A-B)의 컴포넌트들 및 기능들은 각각 프로세스 툴(104), 로드락들(120A-B), 및 팩토리 인터페이스(106)와 유사할 수 있다.
[0058] 로드락들(320A-B)은 하우징(108) 및 이송 챔버(110)에 결합될 수 있다. 로드락들(320A-B)은 이송 챔버(110) 및 팩토리 인터페이스들(306A-B)과 인터페이싱하도록 구성될 수 있다. 로드락들(320A-B)은 일부 실시예들에서 (기판들이 이송 챔버(110)로 그리고 그로부터 이송되는) 진공 환경으로부터 (기판들이 팩토리 인터페이스들(306A-B)로 그리고 그들로부터 이송되는) 대기압 또는 대기압 근처의 불활성 가스 환경으로 변경되는 환경 제어 분위기를 가질 수 있다. 일부 실시예들에서, 로드락들(320A-B)은 각각, 상이한 수직 레벨들(예를 들어, 하나가 다른 하나 위에 있음)에 로케이팅되는 한 쌍의 상부 내부 챔버들 및 한 쌍의 하부 내부 챔버들을 갖는 적층 로드락이다. 일부 실시예들에서, 로드락들(320A-B)은 각각 배치 로드락이다. 도시된 수보다 더 많거나 더 적은 로드락들이 사용될 수 있다.
[0059] 팩토리 인터페이스(106)와 유사하게, 팩토리 인터페이스들(306A-B) 각각은 예를 들어 장비 프론트 엔드 모듈(EFEM)과 같은 임의의 적합한 인클로저일 수 있다. 팩토리 인터페이스(306A)는 팩토리 인터페이스(306A)의 다양한 로드 포트들(124)에 도킹된 기판 캐리어들(122A-B)로부터 기판들(102)을 수용하도록 구성될 수 있다. 2개의 기판 캐리어(122A-B)가 도시되어 있지만, 더 많거나 더 적은 기판 캐리어들이 팩토리 인터페이스(306A)에 연결될 수 있다는 점에 유의한다. 팩토리 인터페이스(306B)는 팩토리 인터페이스(306B)의 다양한 로드 포트들(124)에 도킹된 기판 캐리어들(122C-D)로부터 기판들(102)을 수용하도록 구성될 수 있다. 2개의 기판 캐리어(122C-D)가 도시되어 있지만, 더 많거나 더 적은 기판 캐리어들이 팩토리 인터페이스(306B)에 연결될 수 있다는 점에 유의한다. 로드 포트들(124) 및 부착된 기판 캐리어들은 팩토리 인터페이스(106)의 벽들을 따라 상이한 높이들에 로케이팅될 수 있다. 로드 포트들(124)을 상승시키는 것은 팩토리 인터페이스들(106)의 베이스에서의 하나 이상의 보조 컴포넌트(도시되지 않음)의 배치를 허용하고/하거나 복수의 기판 캐리어가 수직으로 적층되는 것을 허용한다.
[0060] 팩토리 인터페이스 로봇들(326A-B)은 각각 팩토리 인터페이스 로봇들(126A-B)과 유사할 수 있고, 기판 캐리어들(122A-D)과 로드락들(320A-B) 사이에서 기판들(102)을 이송하도록 구성될 수 있다. 예를 들어, 팩토리 인터페이스 로봇(326A)은 기판 캐리어들(122A-B)과 로드락(320A) 사이에서 기판들(102)을 이송하도록 구성될 수 있고, 팩토리 인터페이스 로봇(326B)은 기판 캐리어들(122C-D)과 로드락(320B) 사이에서 기판들(102)을 이송하도록 구성될 수 있다. 일 실시예에서, 팩토리 인터페이스(306A)는 하나 이상의 팩토리 인터페이스 로봇을 포함하고, 팩토리 인터페이스(306B)는 하나 이상의 팩토리 인터페이스 로봇을 포함한다. 예를 들어, 팩토리 인터페이스(306A)는 팩토리 인터페이스(306A) 내에 배치된 제1 팩토리 인터페이스 로봇(326A)을 포함할 수 있고, 팩토리 인터페이스(306B)는 팩토리 인터페이스(306B) 내에 배치된 제2 팩토리 인터페이스 로봇(326B)을 포함할 수 있다. 일 실시예에서, 제1 및 제2 로드락들(320A, 320B)은 제1 및 제2 팩토리 인터페이스 로봇들(326A, 326B) 사이에 배치되고, 로드락(320A)은 팩토리 인터페이스 로봇(326A)에 더 가깝고, 로드락(320B)은 팩토리 인터페이스 로봇(326B)에 더 가깝다.
[0061] 제1 진공 포트(도시되지 않음)는 팩토리 인터페이스(306A)를 로드락(320A)에 결합할 수 있고, 제2 진공 포트는 팩토리 인터페이스(306B)를 로드락(320B)에 결합할 수 있다. 각각의 로드락(320A-B)의 분위기는 다른 로드락(320A-B)과 독립적으로 조절될 수 있다. 이것은 다른 로드락 및 팩토리 인터페이스가 동작을 유지하는 동안 팩토리 오퍼레이터가 유지보수 또는 수리를 위해 하나의 로드락 및 팩토리 인터페이스에 접근하는 것을 허용한다.
[0062] 팩토리 인터페이스(106)는 하나 이상의 보조 컴포넌트(150)를 포함할 수 있다. 보조 컴포넌트들(150)은 기판 저장 컨테이너들, 계측 장비, 서버들, 에어컨 유닛들 등을 포함할 수 있다. 기판 저장 컨테이너는 기판들 및/또는 기판 캐리어들(예를 들어, FOUP들)을 저장할 수 있다. 계측 장비는 전자 디바이스 제조 시스템(100)에 의해 생성된 제품들의 속성 데이터를 결정하기 위해 사용될 수 있다.
[0063] 일부 실시예들에서, 팩토리 인터페이스(306A)는 도 3b에 도시된 바와 같이 상부 구획(360A)을 포함할 수 있고, 팩토리 인터페이스(306B)는 상부 구획(360B)을 포함할 수 있고, 로드락들(320A-B)은 중간 구획(380) 및 하부 구획(370)을 포함할 수 있다. 이들 구획 각각은 전자 시스템들(예를 들어, 서버들, 에어컨 유닛들 등), 유틸리티 케이블들, 시스템 컨트롤러(140) 또는 다른 컴포넌트들 중 하나 이상을 하우징할 수 있다.
[0064] 도시된 바와 같이, 일 실시예에서, 면 도어(128A)는 팩토리 인터페이스(306A)의 후면에 대략 직각이고, 또한 도어(130)에 대략 직각이다. 유사하게, 일 실시예에서, 면 도어(128B)는 팩토리 인터페이스(306B)의 후면에 대략 직각이고, 또한 도어(130)에 대략 직각이다. 면 도어(128A)는 면 도어(128B)와 반대 방향을 향할 수 있다. 도시되지 않았지만, 하나 이상의 추가적인 면 도어가 로드락들(320A-B)에 포함될 수 있고, 하나 이상의 추가적인 면 도어는 로드락들(320A-B) 사이에 있고 이들을 분리한다. 예를 들어, 로드락(320B)의 추가적인 면 도어는 면 도어(328B)의 반대쪽에 있을 수 있고, 팩토리 인터페이스 로봇(326B)이 기판들을 로드락(320A)에 배치하는 것을 허용하고, 팩토리 인터페이스 로봇(326A)이 기판들을 로드락(320B)에 배치하는 것을 허용하고/하거나, 팩토리 인터페이스 로봇(326A)과 팩토리 인터페이스 로봇(326B) 사이의 기판들의 핸드오프를 허용하기 위해 개방될 수 있다.
[0065] 실시예들에서, 팩토리 인터페이스 로봇들(326A-B)은 컨테이너들(122A-D)로부터 기판들을 리트리브하고/하거나 그들 내에 기판들을 배치할 때 팩토리 인터페이스의 전면을 향하고 그에 대략 직각인 제1 방향으로 엔드 이펙터들을 배향할 수 있다. 실시예들에서, 팩토리 인터페이스 로봇(326A)은 로드락(320A)으로부터 기판들을 리트리브하고/하거나 그 안에 기판들을 배치할 때 제1 방향에 대략 직각일 수 있는 제2 방향으로 하나 이상의 엔드 이펙터를 배향할 수 있다. 유사하게, 팩토리 인터페이스 로봇(326B)은 로드락(320B)으로부터 기판들을 리트리브하고/하거나 그 안에 기판들을 배치할 때 제1 방향에 대략 직각일 수 있는 제3 방향으로 하나 이상의 엔드 이펙터를 배향할 수 있다. 실시예들에서, 제3 방향은 제2 방향으로부터 대략 180도일 수 있다.
[0066] 로드락들(320A-320B)은 팩토리 인터페이스들(306A-B)의 내부 볼륨을 외부 환경에 노출시키지 않고서 접근될 수 있다. 일 실시예에서, 로드락들(320A, 320B)은 도어들(130)에 대해 로드락들의 반대쪽들에 있는 추가적인 접근 도어들(도시되지 않음)을 포함한다. 이러한 접근 도어들은 팩토리 인터페이스들(306A-B)의 내부를 외부 환경에 노출시키지 않고서 로드락들(320A-B)에 대한 유지보수 접근을 가능하게 하기 위해 도어들(130, 128A, 128B)이 폐쇄되는 동안 개방될 수 있다.
[0067] 이제 도 3b를 참조하면, 일부 실시예들에서, 팩토리 인터페이스 로봇(326A)은 통과 영역(315)을 사용하여 하나 이상의 기판을 팩토리 인터페이스 로봇(326B)에 이송하도록 구성될 수 있거나, 그 반대일 수 있다. 일례에서, 통과 영역(315)은 로드락들(320A-B)의 한 쌍의 상부 내부 챔버들과 한 쌍의 하부 내부 챔버들 사이의 비아일 수 있다(예를 들어, 로드락들(120A-B)은 각각 적층 로드락임). 일부 실시예들에서, 통과 영역(315)은 (기판들이 이송 챔버(110)로 그리고 그로부터 이송되는) 진공 환경으로부터 (기판들이 로드락들 외부에 있는 팩토리 인터페이스(106)의 내부 볼륨으로 그리고 그로부터 이송되는) 대기압 또는 대기압 근처의 불활성 가스 환경으로 변경되는 환경 제어 분위기의 일부일 수 있다. 예를 들어, 통과 영역(315)은 로드락들 중 하나 이상 로드락의 환경 제어 영역의 일부일 수 있거나, 그 자신의 별개의 환경 제어 영역을 가질 수 있다. 통과 영역(315)이 상부 내부 챔버들과 하부 내부 챔버들 사이에 있는 일 실시예에서, 통과 영역은 로드락들을 포함하는 인클로저 내에 포함되고, 팩토리 인터페이스의 환경으로부터 통과 영역(315)을 밀봉하기 위해 사용될 수 있는 슬릿 밸브들을 포함한다. 대안적으로, 통과 영역(315)은 팩토리 인터페이스의 환경에 노출되고/되거나 그의 일부일 수 있다. 대안적으로 또는 추가적으로, 비아들은 로드락들 위에 그리고/또는 아래에 포지셔닝될 수 있고, 팩토리 인터페이스들(306A-306B) 사이에 통과 영역들을 제공할 수 있다. 일부 실시예들에서, 로드락들 위에 그리고/또는 아래에 포지셔닝된 비아들 중 어느 하나 또는 둘 다는 로드락들 중 하나 이상의 로드락의 환경 제어 분위기의 일부일 수 있다. 팩토리 인터페이스 로봇(326A)은 하나 이상의 기판을 통과 영역들 중 임의의 것을 통해 팩토리 인터페이스 로봇(326B)에 이송하도록 구성될 수 있고, 그 반대도 가능하다. 일부 실시예들에서, 팩토리 인터페이스 로봇(326A)은 기판(들)을 팩토리 인터페이스 로봇(326B)에 핸드오프할 수 있다. 일부 실시예들에서, 팩토리 인터페이스 로봇(326A)은 통과 영역 내의 선반 상에 기판(들)을 배치할 수 있고, 팩토리 인터페이스 로봇(326B)은 선반으로부터 기판(들)을 리트리브할 수 있다.
[0068] 설명적인 예에서, 전자 디바이스 제조 시스템(300)은 이송 챔버(110), 이송 챔버(114, 116, 118)에 연결된 복수의 처리 챔버, 제1 면 및 제1 로드락의 제1 면에 대략 직각인 제2 면을 갖는 제1 로드락(예를 들어, 로드락(320A))을 포함하고, 제1 로드락의 제1 면은 이송 챔버(110)에 연결된다. 또한, 전자 디바이스 제조 시스템(300)은 제1 면 및 제2 로드락의 제1 면에 대략 직각인 제2 면을 갖는 제2 로드락(예를 들어, 로드락(320B))을 포함하고, 제2 로드락의 제1 면은 이송 챔버(110)에 연결된다. 제1 팩토리 인터페이스(306A)는 제1 로드락의 제2 면에 연결되고, 제2 팩토리 인터페이스(306B)는 제2 로드락의 제2 면에 연결된다. 제1 팩토리 인터페이스(306A)는 제1 분위기 환경(atmospheric environment)을 포함할 수 있고, 제2 팩토리 인터페이스(306B)는 제2 분위기 환경을 포함할 수 있다.
[0069] 도 4는 본 개시내용의 실시예들에 따른, 기판들을 제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 수송하기 위한 방법이다. 블록 410에서, 제1 팩토리 로봇은 기판 캐리어로부터 기판을 리트리브한다. 일례에서, 기판 캐리어는 FOUP이다. 블록 420에서, 제1 팩토리 인터페이스 로봇은 기판을 제2 팩토리 로봇에 이송한다. 일례에서, 제1 팩토리 로봇 및 제2 팩토리 로봇은 팩토리 인터페이스 내에 배치된다. 다른 예에서, 제1 팩토리 로봇은 제1 팩토리 인터페이스에 배치되고, 제2 팩토리 로봇은 제2 팩토리 인터페이스에 배치된다. 제1 팩토리 인터페이스 로봇은 통과 영역을 사용하여 기판을 제2 팩토리 인터페이스 로봇에 이송하도록 구성될 수 있다. 제1 예에서, 통과 영역은 팩토리 인터페이스의 전면과 로드락의 전방을 향하는 면 사이에 배치될 수 있다. 제2 예에서, 통과 영역은 하나 이상의 로드락 위에서 팩토리 인터페이스의 내부 볼륨 내에 배치된 비아 또는 개방 공간일 수 있다. 제3 예에서, 통과 영역은 로드락들의 한 쌍의 상부 내부 챔버들과 한 쌍의 하부 내부 챔버들 사이에 배치된 개방 공간 또는 비아일 수 있다(예를 들어, 로드락들은 각각 적층 로드락임). 제4 예에서, 통과 영역은 하나 이상의 로드락 아래에 배치된 개방 공간 또는 비아일 수 있다. 제1 팩토리 인터페이스 로봇은 통과 영역을 통해 기판을 제2 팩토리 인터페이스 로봇에 이송하도록 구성될 수 있다.
[0070] 블록 430에서, 제2 팩토리 인터페이스 로봇은 팩토리 인터페이스 내에 배치된 로드락 내부에 기판을 배치한다. 로드락은 제1 팩토리 인터페이스 로봇에 의해 접근될 수 없다. 일례에서, 제2 팩토리 로봇은 팩토리 인터페이스 내에 배치된 로드락 내부로부터 기판을 리트리브할 수 있다. 이어서, 제2 팩토리 로봇은 기판을 제1 팩토리 로봇에 이송할 수 있다. 제2 팩토리 인터페이스 로봇은 통과 영역을 사용하여 기판을 제1 팩토리 인터페이스 로봇에 이송하도록 구성될 수 있다. 이어서, 제1 팩토리 로봇은 기판을 기판 캐리어 내에 배치할 수 있다.
[0071] 전술한 설명은 본 개시내용의 여러 실시예들의 양호한 이해를 제공하기 위해 특정 시스템들, 컴포넌트들, 방법들 등의 예들과 같은 다수의 특정 상세들을 제시한다. 그러나, 본 개시내용의 적어도 일부 실시예들은 이러한 특정 상세들 없이 실시될 수 있다는 것이 이 분야의 기술자에게 명백할 것이다. 다른 경우들에서, 널리 공지된 컴포넌트들 또는 방법들은 본 개시내용을 불필요하게 모호하게 하는 것을 회피하기 위해 상세히 설명되지 않거나 간단한 블록도 포맷으로 제시된다. 따라서, 제시된 특정 상세들은 단지 예시적이다. 특정 구현들은 이러한 예시적인 상세들로부터 변할 수 있고, 여전히 본 개시내용의 범위 내에 있는 것으로 고려될 수 있다.
[0072] 본 명세서 전체에 걸쳐 "하나의 실시예" 또는 "일 실시예"에 대한 참조는 실시예와 관련하여 설명된 특정 특징, 구조, 또는 특성이 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전체에 걸쳐 다양한 곳들에서 "하나의 실시예에서" 또는 "일 실시예에서"라는 문구의 출현들은 반드시 모두가 동일한 실시예를 지칭하는 것은 아니다. 또한, "또는"이라는 용어는 배타적인 "또는"이 아니라 포괄적인 "또는"을 의미하도록 의도된다. 본 명세서에서 "약" 또는 "대략"이라는 용어가 사용될 때, 이것은 제시된 공칭 값이 ±10% 내에서 정확하다는 것을 의미하도록 의도된다.
[0073] 본 명세서의 방법들의 동작들은 특정 순서로 도시되고 설명되지만, 각각의 방법의 동작들의 순서는 소정 동작들이 역순으로 수행될 수 있도록 변경될 수 있으며, 따라서 소정 동작들은 적어도 부분적으로 다른 동작들과 동시에 수행될 수 있다. 다른 실시예에서, 개별 동작들의 하위 동작들 또는 명령어들은 간헐적이고/이거나 교대하는 방식으로 수행될 수 있다.
[0074] 위의 설명은 한정이 아니라 예시적인 것을 의도한다는 것을 이해한다. 위의 설명을 읽고 이해하면 많은 다른 실시예들이 이 분야의 기술자들에게 명백할 것이다. 따라서, 본 개시내용의 범위는 첨부된 청구항들과 함께 그러한 청구항들이 자격을 갖는 균등물들의 전체 범위를 참조하여 결정되어야 한다.

Claims (20)

  1. 전자 디바이스 제조 시스템을 위한 팩토리 인터페이스로서,
    최하부, 최상부 및 복수의 면들에 의해 정의된 내부 볼륨;
    상기 팩토리 인터페이스의 상기 내부 볼륨 내에 배치된 제1 로드락; 및
    상기 팩토리 인터페이스의 상기 내부 볼륨 내에 배치된 제1 팩토리 인터페이스 로봇
    을 포함하고,
    상기 제1 팩토리 인터페이스 로봇은 기판 캐리어들의 제1 세트와 상기 제1 로드락 사이에서 기판들을 이송하도록 구성되는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  2. 제1 항에 있어서,
    상기 팩토리 인터페이스의 상기 내부 볼륨 내에 배치된 제2 로드락; 및
    기판 캐리어들의 제2 세트와 상기 제2 로드락 사이에서 기판들을 이송하도록 구성된 제2 팩토리 인터페이스 로봇
    을 더 포함하는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  3. 제2 항에 있어서,
    상기 복수의 면들은 상기 전자 디바이스 제조 시스템의 이송 챔버를 향하도록 구성되는 후면, 전면, 우측 면 및 좌측 면을 포함하고, 상기 제1 팩토리 인터페이스 로봇은 상기 좌측 면에 근접하게 상기 내부 볼륨 내에 배치되고, 상기 제2 팩토리 인터페이스 로봇은 상기 우측 면에 근접하게 상기 내부 볼륨 내에 배치되고, 상기 제1 로드락 및 상기 제2 로드락은, 상기 제1 로드락이 상기 제2 로드락보다 상기 제1 팩토리 인터페이스 로봇에 더 가깝고 상기 제2 로드락이 상기 제1 로드락보다 상기 제2 팩토리 인터페이스 로봇에 더 가깝도록, 상기 후면에 인접하게 그리고 상기 제1 팩토리 인터페이스 로봇과 상기 제2 팩토리 인터페이스 로봇 사이에 배치되는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  4. 제3 항에 있어서,
    상기 기판 캐리어들의 제1 세트를 수용하기 위한 로드 포트들의 제1 세트 ― 상기 로드 포트들의 제1 세트는 상기 좌측 면에 근접한 상기 전면의 제1 부분에 포지셔닝됨 ―; 및
    상기 기판 캐리어들의 제2 세트를 수용하기 위한 로드 포트들의 제2 세트 ― 상기 로드 포트들의 제2 세트는 상기 우측 면에 근접한 상기 전면의 제2 부분에 포지셔닝됨 ―
    를 더 포함하는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  5. 제4 항에 있어서,
    상기 로드 포트들의 제1 세트 중의 로드 포트 아래에 포지셔닝된 기판 저장 컨테이너 또는 계측 장비 중 적어도 하나를 더 포함하는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  6. 제1 항에 있어서,
    상기 팩토리 인터페이스의 상기 내부 볼륨 내에서 상기 제1 로드락의 위 또는 아래에 배치된 비아(via)를 더 포함하고, 상기 제1 팩토리 인터페이스 로봇은 상기 비아를 통해 기판을 상기 제2 팩토리 인터페이스 로봇에 이송하도록 구성되는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  7. 제1 항에 있어서,
    상기 복수의 면들은 상기 전자 디바이스 제조 시스템의 이송 챔버를 향하도록 구성되는 후면, 우측 면 및 좌측 면을 포함하고, 상기 제1 팩토리 인터페이스 로봇은 상기 좌측 면에 근접하게 상기 내부 볼륨 내에 배치되고, 상기 제1 로드락은 상기 후면에 인접하게 그리고 상기 제1 팩토리 인터페이스 로봇과 상기 우측 면 사이에 배치되는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  8. 제6 항에 있어서,
    상기 제1 로드락은,
    상기 후면에 대략 직각(perpendicular)이고 상기 제1 팩토리 인터페이스 로봇에 의해 접근가능한 제1 도어; 및
    상기 후면에 대략 평행하고 이송 챔버 로봇에 의해 접근가능한 제2 도어
    를 포함하는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  9. 제1 항에 있어서,
    상기 제1 로드락은 배치 로드락인,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  10. 제1 항에 있어서,
    상기 팩토리 인터페이스의 상기 내부 볼륨 내에 그리고 상기 제1 로드락 아래에 배치된 제2 로드락을 더 포함하는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  11. 제1 항에 있어서,
    상기 복수의 면들은 상기 전자 디바이스 제조 시스템의 이송 챔버를 향하도록 구성되는 후면, 전면, 우측 면 및 좌측 면을 포함하고, 상기 전면은 중앙 부분, 좌측 전방 부분 및 우측 전방 부분을 포함하고, 상기 중앙 부분은 상기 좌측 전방 부분, 상기 우측 전방 부분 및 상기 후면으로부터 멀어지게 돌출되고, 상기 기판 캐리어들의 제1 세트로부터 제1 기판 캐리어를 수용하기 위한 제1 로드 포트가 상기 좌측 전방 부분 상의 제1 포지션에 포지셔닝되고, 상기 기판 캐리어들의 제1 세트로부터 제2 기판 캐리어를 수용하기 위한 제2 로드 포트가 상기 우측 전방 부분 상의 제2 포지션에 포지셔닝되는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  12. 제11 항에 있어서,
    상기 좌측 전방 부분, 상기 우측 전방 부분 및 상기 후면으로부터 멀어지게 돌출되는 상기 중앙 부분에서 상기 팩토리 인터페이스의 상기 내부 볼륨 내에 배치되는 비아를 더 포함하고, 상기 제1 팩토리 인터페이스 로봇은 상기 비아를 통해 상기 제2 팩토리 인터페이스 로봇에 기판을 이송하도록 구성되는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  13. 전자 디바이스 제조 시스템으로서,
    이송 챔버;
    상기 이송 챔버에 연결된 복수의 처리 챔버;
    제1 로드락 ― 상기 제1 로드락은 제1 면, 및 상기 제1 로드락의 상기 제1 면에 대략 직각인 제2 면을 갖고, 상기 제1 로드락의 상기 제1 면은 상기 이송 챔버에 연결됨 ―;
    제2 로드락 ― 상기 제2 로드락은 제1 면, 및 상기 제2 로드락의 상기 제1 면에 대략 직각인 제2 면을 갖고, 상기 제2 로드락의 상기 제1 면은 상기 이송 챔버에 연결됨 ―;
    상기 제1 로드락의 상기 제2 면에 연결된 제1 팩토리 인터페이스; 및
    상기 제2 로드락의 상기 제2 면에 연결된 제2 팩토리 인터페이스
    를 포함하는,
    전자 디바이스 제조 시스템.
  14. 제13 항에 있어서,
    상기 제1 팩토리 인터페이스는 제1 분위기 환경(atmospheric environment)을 포함하고, 상기 제2 팩토리 인터페이스는 제2 분위기 환경을 포함하는,
    전자 디바이스 제조 시스템.
  15. 제13 항에 있어서,
    상기 제1 팩토리 인터페이스의 상기 내부 볼륨 내에 배치된 제1 팩토리 인터페이스 로봇 ― 상기 제1 팩토리 인터페이스 로봇은 기판 캐리어들의 제1 세트와 상기 제1 로드락 사이에서 기판들을 이송하도록 구성됨 ―; 및
    상기 제2 팩토리 인터페이스의 상기 내부 볼륨 내에 배치된 제2 팩토리 인터페이스 로봇 ― 상기 제2 팩토리 인터페이스 로봇은 기판 캐리어들의 제2 세트와 상기 제2 로드락 사이에서 기판들을 이송하도록 구성됨 ―
    을 더 포함하는,
    전자 디바이스 제조 시스템.
  16. 제15 항에 있어서,
    상기 제1 로드락 및 상기 제2 로드락은 각각, 상이한 수직 레벨들에 로케이팅된 한 쌍의 상부 내부 챔버들 및 한 쌍의 하부 내부 챔버들을 갖는 적층 로드락(stacked load lock)이고, 상기 전자 디바이스 제조 시스템은,
    상기 한 쌍의 상부 내부 챔버와 상기 한 쌍의 하부 내부 챔버 사이에 배치된 비아를 더 포함하고, 상기 제1 팩토리 인터페이스 로봇은 상기 비아를 통해 상기 제2 팩토리 인터페이스 로봇에 기판을 이송하도록 구성되는,
    전자 디바이스 제조 시스템.
  17. 제15 항에 있어서,
    상기 제1 팩토리 인터페이스는 상기 기판 캐리어들의 제1 세트를 수용하기 위한 로드 포트들의 제1 세트를 포함하고, 상기 제2 팩토리 인터페이스는 로드 포트들의 제2 세트를 포함하는,
    전자 디바이스 제조 시스템.
  18. 제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 기판들을 수송하기 위한 방법으로서,
    제1 팩토리 인터페이스 로봇에 의해, 기판 캐리어로부터 기판을 리트리브(retrieving)하는 단계;
    상기 기판을 상기 제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 이송하는 단계 ― 상기 제1 팩토리 인터페이스 로봇 및 상기 제2 팩토리 인터페이스 로봇은 팩토리 인터페이스 내에 배치됨 ―; 및
    상기 제2 팩토리 인터페이스 로봇을 통해, 상기 팩토리 인터페이스 내에 배치된 로드락 내부에 상기 기판을 배치하는 단계
    를 포함하는,
    제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 기판들을 이송하기 위한 방법.
  19. 제18 항에 있어서,
    상기 제1 팩토리 인터페이스 로봇은 상기 로드락에 접근할 수 없는,
    제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 기판들을 이송하기 위한 방법.
  20. 제18 항에 있어서,
    상기 기판은 상기 제1 로드락의 위 또는 아래에서 상기 팩토리 인터페이스의 내부 볼륨 내에 배치된 비아를 통해 이송되는,
    제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 기판들을 이송하기 위한 방법.
KR1020237002998A 2020-09-02 2021-09-01 로드락들을 팩토리 인터페이스 풋프린트 공간 내에 통합하기 위한 시스템들 및 방법들 KR102619109B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237044576A KR20240005190A (ko) 2020-09-02 2021-09-01 로드락들을 팩토리 인터페이스 풋프린트 공간 내에 통합하기 위한 시스템들 및 방법들

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202063073715P 2020-09-02 2020-09-02
US63/073,715 2020-09-02
US17/392,392 US11581203B2 (en) 2020-09-02 2021-08-03 Systems for integrating load locks into a factory interface footprint space
US17/392,392 2021-08-03
PCT/US2021/048681 WO2022051373A1 (en) 2020-09-02 2021-09-01 Systems and methods for integrating load locks into a factory interface footprint space

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237044576A Division KR20240005190A (ko) 2020-09-02 2021-09-01 로드락들을 팩토리 인터페이스 풋프린트 공간 내에 통합하기 위한 시스템들 및 방법들

Publications (2)

Publication Number Publication Date
KR20230023041A true KR20230023041A (ko) 2023-02-16
KR102619109B1 KR102619109B1 (ko) 2023-12-27

Family

ID=80358967

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237044576A KR20240005190A (ko) 2020-09-02 2021-09-01 로드락들을 팩토리 인터페이스 풋프린트 공간 내에 통합하기 위한 시스템들 및 방법들
KR1020237002998A KR102619109B1 (ko) 2020-09-02 2021-09-01 로드락들을 팩토리 인터페이스 풋프린트 공간 내에 통합하기 위한 시스템들 및 방법들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237044576A KR20240005190A (ko) 2020-09-02 2021-09-01 로드락들을 팩토리 인터페이스 풋프린트 공간 내에 통합하기 위한 시스템들 및 방법들

Country Status (6)

Country Link
US (2) US11581203B2 (ko)
JP (2) JP7372500B2 (ko)
KR (2) KR20240005190A (ko)
CN (1) CN115916478A (ko)
TW (1) TW202226430A (ko)
WO (1) WO2022051373A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11581203B2 (en) * 2020-09-02 2023-02-14 Applied Materials, Inc. Systems for integrating load locks into a factory interface footprint space
US20230113673A1 (en) * 2021-10-12 2023-04-13 Applied Materials, Inc. Factory interface robots usable with integrated load locks

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0927536A (ja) * 1995-07-10 1997-01-28 Nissin Electric Co Ltd ロ−ドロック室内に基板位置合わせ機構を有するイオン注入装置
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
JP4389424B2 (ja) * 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
JP2010135495A (ja) * 2008-12-03 2010-06-17 Advanced Display Process Engineering Co Ltd 基板処理装置
JP4712379B2 (ja) * 2002-07-22 2011-06-29 ブルックス オートメーション インコーポレイテッド 基板処理装置
KR20140133534A (ko) * 2012-02-10 2014-11-19 브룩스 오토메이션 인코퍼레이티드 기판 프로세싱 장치

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5376212A (en) * 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
WO1999028951A2 (en) * 1997-11-28 1999-06-10 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
JP2004265894A (ja) 2003-01-17 2004-09-24 Tokyo Electron Ltd 基板処理装置
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
US7246985B2 (en) * 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7949425B2 (en) * 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
KR100887161B1 (ko) 2007-08-03 2009-03-09 주식회사 에이디피엔지니어링 플라즈마 처리장치
US8440048B2 (en) * 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
JP5503006B2 (ja) * 2010-08-06 2014-05-28 東京エレクトロン株式会社 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法
US9378994B2 (en) 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same
JP6257455B2 (ja) 2014-06-17 2018-01-10 住友重機械イオンテクノロジー株式会社 イオン注入装置及びイオン注入装置の制御方法
US10607879B2 (en) * 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
JP2018174186A (ja) * 2017-03-31 2018-11-08 東京エレクトロン株式会社 基板処理装置
JP7168586B2 (ja) 2017-05-13 2022-11-09 アプライド マテリアルズ インコーポレイテッド 高品質のボイド充填法のための流動性堆積及び高密度プラズマ処理工程サイクル
US10720348B2 (en) 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
JP7225613B2 (ja) 2018-09-03 2023-02-21 東京エレクトロン株式会社 基板搬送機構、基板処理装置及び基板搬送方法
JP7210960B2 (ja) 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
JP7458212B2 (ja) * 2020-03-11 2024-03-29 東京エレクトロン株式会社 基板搬送システムおよび基板搬送方法
US11581203B2 (en) * 2020-09-02 2023-02-14 Applied Materials, Inc. Systems for integrating load locks into a factory interface footprint space

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0927536A (ja) * 1995-07-10 1997-01-28 Nissin Electric Co Ltd ロ−ドロック室内に基板位置合わせ機構を有するイオン注入装置
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
JP4389424B2 (ja) * 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
JP4712379B2 (ja) * 2002-07-22 2011-06-29 ブルックス オートメーション インコーポレイテッド 基板処理装置
JP2010135495A (ja) * 2008-12-03 2010-06-17 Advanced Display Process Engineering Co Ltd 基板処理装置
KR20140133534A (ko) * 2012-02-10 2014-11-19 브룩스 오토메이션 인코퍼레이티드 기판 프로세싱 장치

Also Published As

Publication number Publication date
KR102619109B1 (ko) 2023-12-27
JP2024023180A (ja) 2024-02-21
JP2023535578A (ja) 2023-08-18
US20230187239A1 (en) 2023-06-15
CN115916478A (zh) 2023-04-04
US20220068677A1 (en) 2022-03-03
US11581203B2 (en) 2023-02-14
KR20240005190A (ko) 2024-01-11
TW202226430A (zh) 2022-07-01
JP7372500B2 (ja) 2023-10-31
WO2022051373A1 (en) 2022-03-10

Similar Documents

Publication Publication Date Title
JP7305857B2 (ja) インデックス可能な側方収容ポッド装置、加熱側方収容ポッド装置、システム、及び方法
JP5212165B2 (ja) 基板処理装置
JP7404412B2 (ja) 統合バッファを備えたウエハ搬送アセンブリ
US20230187239A1 (en) Systems and methods for integrating load locks into a factory interface footprint space
KR20180038577A (ko) 복수 챔버의 화학 기상 증착 시스템
TW201448096A (zh) 於負載鎖位置中處理基板之處理負載鎖設備、升降組件、電子裝置處理系統以及方法
JP5610009B2 (ja) 基板処理装置
US11569102B2 (en) Oxidation inhibiting gas in a manufacturing system
US20230113673A1 (en) Factory interface robots usable with integrated load locks
KR20240074703A (ko) 통합된 로드록들과 함께 사용 가능한 팩토리 인터페이스 로봇들
US11456197B2 (en) Systems and methods for providing maintenance access to electronic device manufacturing tools
US20220285193A1 (en) Shortened load port for factory interface
KR20240051070A (ko) 중복성을 갖는 팩토리 인터페이스
KR20080071682A (ko) 로드락 챔버 및 이를 이용한 반도체 제조 장치

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant