KR20240074703A - 통합된 로드록들과 함께 사용 가능한 팩토리 인터페이스 로봇들 - Google Patents

통합된 로드록들과 함께 사용 가능한 팩토리 인터페이스 로봇들 Download PDF

Info

Publication number
KR20240074703A
KR20240074703A KR1020237039599A KR20237039599A KR20240074703A KR 20240074703 A KR20240074703 A KR 20240074703A KR 1020237039599 A KR1020237039599 A KR 1020237039599A KR 20237039599 A KR20237039599 A KR 20237039599A KR 20240074703 A KR20240074703 A KR 20240074703A
Authority
KR
South Korea
Prior art keywords
factory interface
robot
load
interface robot
factory
Prior art date
Application number
KR1020237039599A
Other languages
English (en)
Inventor
수샨트 에스. 코슈티
폴 비. 로이터
데이비드 필립스
제이콥 뉴먼
앤드류 제이. 콘스탄트
마이클 알. 라이스
샤이 아사프
스리니바스 포샤트라할리 고팔라크리슈나
데벤드라 찬나파 홀리아나바르
더글라스 비. 바움가르텐
아룬쿠마르 라마찬드라이아
나라야난 라마찬드란
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20240074703A publication Critical patent/KR20240074703A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G1/00Storing articles, individually or in orderly arrangement, in warehouses or magazines
    • B65G1/02Storage devices
    • B65G1/04Storage devices mechanical
    • B65G1/0407Storage devices mechanical using stacker cranes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G1/00Storing articles, individually or in orderly arrangement, in warehouses or magazines
    • B65G1/02Storage devices
    • B65G1/04Storage devices mechanical
    • B65G1/0485Check-in, check-out devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

전자 디바이스 제조 시스템을 위한 팩토리 인터페이스는 팩토리 인터페이스의 내부 볼륨 내에 배치된 로드록, 및 팩토리 인터페이스의 내부 볼륨 내에 배치된 팩토리 인터페이스 로봇을 포함할 수 있다. 팩토리 인터페이스 로봇은 제1 세트의 기판 캐리어들과 제1 로드록 사이에서 기판들을 이송하도록 구성될 수 있다. 팩토리 인터페이스 로봇은 수직 타워, 복수의 링크들, 및 엔드 이펙터를 포함한다.

Description

통합된 로드록들과 함께 사용 가능한 팩토리 인터페이스 로봇들
[0001] 본 개시내용의 실시예들은 일반적으로 다수의 팩토리 인터페이스 로봇(factory interface robot)들이 팩토리 인터페이스 풋프린트 공간(factory interface footprint space)에 통합된 로드록(load lock)들과 상호작용할 수 있게 하는 시스템들 및 방법들에 관한 것이다.
[0002] 전자 디바이스 제조 시스템은 기판들을 수송(transporting)하고 제조하기 위한 하나 이상의 툴(tool)들 또는 컴포넌트들을 포함할 수 있다. 그러한 툴들 또는 컴포넌트들은 로드록(load lock) 및/또는 이송 챔버(transfer chamber)에 연결된 팩토리 인터페이스를 포함할 수 있다. 일부 경우들에서, 로드록은 이송 챔버와 팩토리 인터페이스 사이에 포지셔닝된다. 그러나, 그러한 구성은 큰 동작 풋프린트를 사용하는 제조 시스템으로 인해 비효율적일 수 있다. 예를 들어, 이러한 구성은 긴 폭 및/또는 길이와, 미사용 공간의 큰 섹션들을 가질 수 있다. 따라서, 증가된 풋프린트 효율을 갖는, 기판들을 수송하고 제조하기 위한 개선된 전자 디바이스 제조 시스템들, 장치, 및 방법들이 요구되고 있다.
[0003] 설명된 실시예들 중 일부는 전자 디바이스 제조 시스템을 위한 팩토리 인터페이스를 커버한다. 팩토리 인터페이스는 팩토리 인터페이스의 내부 볼륨 내에 배치된 제1 로드록, 및 팩토리 인터페이스의 내부 볼륨 내에 배치된 제1 팩토리 인터페이스 로봇을 포함한다. 제1 팩토리 인터페이스 로봇은 제1 세트의 기판 캐리어들과 제1 로드록 사이에서 기판들을 이송하도록 구성된다. 팩토리 인터페이스 로봇은 수직 타워, 복수의 링크들, 및 엔드 이펙터를 포함한다.
[0004] 일부 실시예들에서, 팩토리 인터페이스 로봇은 복수의 로봇 링크들이 z축으로 횡단할 수 있게 하도록 구성된 수직 타워를 포함한다. 복수의 링크들은 수직 타워에 결합되고, x축 및 y축을 따라 엔드 이펙터를 이동시키도록 구성된다. 엔드 이펙터는 복수의 링크들에 결합되고 기판을 핸들링하도록 구성되며, 팩토리 인터페이스 로봇 및 로드록은 팩토리 인터페이스의 내부 볼륨 내에 배치된다.
[0005] 일부 실시예들에서, 기판들을 제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 수송하기 위한 방법은 제1 팩토리 인터페이스 로봇의 엔드 이펙터에 의해 기판 캐리어로부터 기판을 회수(retrieving)하는 단계를 포함한다. 방법은 팩토리 인터페이스 로봇의 수직 구동 메커니즘에 의해 엔드 이펙터의 수직 포지션을 조정하는 단계를 더 포함한다. 방법은 기판을 제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 이송하는 단계를 더 포함하며, 제1 팩토리 인터페이스 로봇 및 제2 팩토리 인터페이스 로봇은 팩토리 인터페이스 내에 배치된다.
[0006] 본 개시내용은, 유사한 참조 번호들이 유사한 엘리먼트들을 나타내는 첨부 도면들의 도해들에서, 제한이 아니라 예로서 예시되어 있다. 본 개시내용에서의 "실시예" 또는 "일 실시예"에 대한 상이한 언급들은 반드시 동일한 실시예일 필요는 없으며, 그러한 언급들은 적어도 하나를 의미한다는 것에 주목해야 한다.
[0007] 도 1a는 본 개시내용의 양상들에 따른 예시적인 전자 디바이스 제조 시스템의 개략적인 평면도이다.
[0008] 도 1b는 본 개시내용의 양상들에 따른 예시적인 전자 디바이스 제조 시스템의 개략적인 정면도이다.
[0009] 도 1c는 본 개시내용의 양상들에 따른 예시적인 전자 디바이스 제조 시스템의 개략적인 측면도이다.
[0010] 도 1d는 본 개시내용의 양상들에 따른 예시적인 전자 디바이스 제조 시스템의 다른 개략적인 측면도이다.
[0011] 도 2a는 본 개시내용의 양상들에 따른 전자 디바이스 제조 시스템의 등각도이다.
[0012] 도 2b는 본 개시내용의 양상들에 따른, 팩토리 인터페이스의 전방에 초점을 맞춘 팩토리 인터페이스의 등각도이다.
[0013] 도 2c는 본 개시내용의 양상들에 따른, 팩토리 인터페이스의 후방에 초점을 맞춘 팩토리 인터페이스의 다른 등각도이다.
[0014] 도 2d는 본 개시내용의 양상들에 따른, 팩토리 인터페이스의 후방에 초점을 맞춘 팩토리 인터페이스의 측면도이다.
[0015] 도 2e는 본 개시내용의 양상들에 따른 팩토리 인터페이스의 정면도이다.
[0016] 도 2f는 본 개시내용의 양상들에 따른 팩토리 인터페이스의 평면도이다.
[0017] 도 3은 본 개시내용의 양상들에 따른 2 개의 팩토리 인터페이스 로봇들 사이에서의 핸드오프의 예시이다.
[0018] 도 4a는 본 개시내용의 양상들에 따른 다른 예시적인 전자 디바이스 제조 시스템의 개략적인 평면도이다.
[0019] 도 4b는 본 개시내용의 양상들에 따른 다른 예시적인 전자 디바이스 제조 시스템의 개략적인 측면도이다.
[0020] 도 5a는 본 개시내용의 양상들에 따른 또 다른 예시적인 전자 디바이스 제조 시스템의 개략적인 평면도이다.
[0021] 도 5b는 본 개시내용의 양상들에 따른 또 다른 예시적인 전자 디바이스 제조 시스템의 개략적인 정면도이다.
[0022] 도 6a는 본 개시내용의 양상들에 따른 예시적인 로드 포트의 개략적인 정면도이다.
[0023] 도 6b는 본 개시내용의 양상들에 따른 예시적인 로드 포트의 개략적인 측면도이다.
[0024] 도 7은 본 개시내용의 양상들에 따른 예시적인 도어 메커니즘의 개략적인 정면도이다.
[0025] 도 8은 본 개시내용의 양상들에 따른 팩토리 인터페이스 로봇의 사시도이다.
[0026] 도 9a 내지 도 9c는 본 개시내용의 양상들에 따른, 상이한 기판 캐리어들로부터 기판들을 회수하는 팩토리 인터페이스 로봇의 평면도들이다.
[0027] 도 10a는 본 개시내용의 양상들에 따른, 패스스루 포지션에 있는 팩토리 인터페이스 로봇의 평면도이다.
[0028] 도 10b는 본 개시내용의 양상들에 따른, 로드록으로부터 기판들을 회수하는 팩토리 인터페이스 로봇의 평면도이다.
[0029] 도 11은 본 개시내용의 실시예들에 따른, 기판들을 기판 캐리어로부터 팩토리 인터페이스로 수송하기 위한 방법이다.
[0030] 도 12는 본 개시내용의 실시예들에 따른, 기판들을 제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 수송하기 위한 방법이다.
[0031] 본원에 설명된 실시예들은 팩토리 인터페이스 로봇들이 팩토리 인터페이스 풋프린트 공간에 통합된 로드록들과 상호작용할 수 있게 하는 시스템들 및 방법들에 관한 것이다. 실시예들은 전자 디바이스 제조 시스템들의 전체 풋프린트를 감소시키는 팩토리 인터페이스들 및 로드록들에 대한 다수의 상이한 설계들을 커버한다. 실시예들은 전자 디바이스 제조 시스템들로 소비되는 수직 공간을 감소시키는 단축된 로드 포트(load port)뿐만 아니라, 통합된 로드록들 및 단축된 로드 포트들과 상호작용하도록 구성된 팩토리 인터페이스 로봇들에 대한 설계들을 더 커버한다.
[0032] 전자 디바이스들을 위한 제조 설비들(팹(fab)들)의 바닥 공간은 매우 고가이며, 전자 디바이스 제조 시스템들의 풋프린트의 임의의 감소는 해당 전자 디바이스 제조 시스템들의 소유 비용을 감소시킬 수 있다. 또한, 시스템들의 풋프린트의 감소는 소유자가 제한된 팹 공간 내에 더 많은 시스템들을 설치할 수 있게 하며, 이는 결국 더 많은 웨이퍼들을 프로세싱할 수 있게 한다. 따라서, 본원에 설명된 실시예들은 전자 디바이스 제조 시스템들의 풋프린트 및 전체 소유 비용을 감소시키는 팩토리 인터페이스들, 로드록들, 로드 포트들 및 팩토리 인터페이스 로봇들을 제공한다.
[0033] 일부 실시예들에서, 로드록들은 팩토리 인터페이스 내부에 통합되고, 따라서 전통적으로 로드록들에 전용인 바닥 공간을 감소시킨다. 일부 실시예들에서, 팩토리 인터페이스는 2 개의 더 작은 팩토리 인터페이스들(예를 들어, 좌측 및 우측 팩토리 인터페이스)로 분할되며, 2 개의 더 작은 팩토리 인터페이스들 사이에 하나 이상의 로드록들이 포지셔닝된다. 2 개의 더 작은 팩토리 인터페이스들 각각은 기판 캐리어(substrate carrier)(로드 포트에 결합됨)로부터 로드록으로, 그리고 그 반대로 기판들을 포지셔닝하도록 구성된 팩토리 인터페이스 로봇을 포함할 수 있다. 팩토리 인터페이스 로봇은 다수의 로봇 링크(robot link)들(예를 들어, 아암(arm)들)이 Z 방향으로(예를 들어, 수직방향 상하로) 횡단할 수 있게 하도록 구조화 및 구성된 수직 타워(vertical tower)를 포함할 수 있다. 예를 들어, 수직 타워는 로봇 링크들에 Z축을 따른 선형 이동을 제공하도록 구성된 수직 구동 메커니즘(vertical drive mechanism)을 포함할 수 있다. 로봇 링크들의 근위(proximal) 링크는 수직 구동 메커니즘에 결합될 수 있는 반면, 로봇 링크들의 원위Z(distal) 링크는 기판들(예를 들어, 웨이퍼(wafer)들)과 같은 특정 물체들을 핸들링(handling)하도록 구성된 엔드 이펙터(end effector)에 결합될 수 있다. 로봇 링크들은 링크들이 x축 및 y축을 따라 엔드 이펙터를 이동시킬 수 있게 하는 링크 및 조인트 구성(link and joint configuration)을 포함할 수 있다(SCARA 로봇과 유사함). 이러한 수직 구동 메커니즘과 링크 및 조인트 구성의 조합은 팩토리 인터페이스 로봇이 엔드 이펙터를 3차원으로 이동시키는 능력을 유지하면서 콤팩트한 공간들에서 동작할 수 있게 한다. 그러한 구성에서는, 기존의 로드록 및 팩토리 인터페이스 구성에 비해 로드록들과 팩토리 인터페이스들의 조합된 공간의 전체 풋프린트가 감소되는 동시에, 또한 팩토리 인터페이스의 전체 크기를 증가시키지 않고 로드록들을 포함함으로써 팩토리 인터페이스 로봇이 감소된 공간에서 효율적으로 동작할 수 있게 한다.
[0034] 일부 실시예들에서, 팩토리 인터페이스의 로드 포트들 각각은 전통적인 로드 포트들에 비해 로드 포트의 전체 높이를 감소시키는 것을 가능하게 하는 로드 포트 도어(load port door)를 개방하기 위한 액추에이터(actuator)(예를 들어, 공압 메커니즘(pneumatic mechanism), 전기기계적 구동식 액추에이터(electromechanically driven actuator), 또는 유사한 메커니즘)를 포함한다. 일부 실시예들에서, 로드 포트가 차지하는 수직 공간의 감소는 보조 컴포넌트들을 상기 수직 공간에 통합하는 것을 허용한다. 보조 컴포넌트들은 기판 보관 용기들, 계측 장비, 서버(server)들, 공기 조화 유닛(air conditioning unit)들 등을 포함할 수 있다. 예를 들어, 로드 포트는 팩토리 인터페이스의 벽에 장착될 수 있다. 벽 장착형 로드 포트의 콤팩트한 크기로 인해, 적어도 하나의 보조 컴포넌트(예를 들어, 기판 보관 용기, 계측 장비, 서버, 공기 조화 유닛 등)가 로드 포트 아래에 포지셔닝될 수 있다. 또한, 로드 포트는 프로세싱을 위해 기판들을 프로세스 챔버(process chamber)들로 이송하는 데 사용되는 로드록의 수평면과 유사한 수평면 상에 장착될 수 있다. 따라서, 로드록의 수평면과 유사한 수평면 상에 로드 포트를 장착함으로써, 기판 캐리어로부터 로드록으로 기판들을 이송하는 팩토리 인터페이스 로봇에 의한 과잉 모션(motion)(예를 들어, 수직방향 모션)이 제거되거나 감소된다. 따라서, 그러한 구성에서, 전자 디바이스 제조 시스템의 전체 풋프린트는 전통적인 로드 포트 및 보조 컴포넌트에 비해 로드 포트와 보조 컴포넌트가 차지하는 공간들을 조합함으로써 더욱 감소된다.
[0035] 로드록들 및 팩토리 인터페이스를 단일 볼륨(single volume)에 통합하고 로드 포트 및 팩토리 인터페이스 로봇의 크기를 감소시키는 시스템을 제공함으로써, 전자 디바이스 제조 시스템에는 증가된 풋프린트 효율이 제공된다. 구체적으로, 종래의 전자 디바이스 제조 시스템 설계들은 이송 챔버와 팩토리 인터페이스 사이에 로드록(들)을 포지셔닝하며, 이는 제조 시스템에 긴 프로파일을 제공한다. 본 개시내용의 일부 실시예들에서, 로드록들 및 팩토리 인터페이스는 단일 볼륨에 통합되며, 여기서 하나 이상의 팩토리 인터페이스 로봇들은 볼륨 내에 그리고 로드록들의 측면들에 배치된다. 따라서, 제조 시스템은 감소된 깊이를 갖는다.
[0036] 로드록들을 팩토리 인터페이스에 통합하면, 전통적인 팩토리 인터페이스 로봇들이 동작들을 수행하기에 적절한 공간이 부족할 수 있다. 이것은 전통적인 팩토리 인터페이스 로봇들이 링크들 및 조인트들을 사용하여 엔드 이펙터를 z 방향으로 포지셔닝하므로, 통합된 로드록들로는 이용할 수 없는 공간을 필요로 하기 때문이다. 본 개시내용의 일부 실시예들에서, 팩토리 인터페이스 로봇은 링크들에 z 방향으로의 선형 이동을 제공하도록 구성된 수직 구동 메커니즘을 갖는 수직 타워를 포함하며, 따라서 팩토리 인터페이스 로봇이 통합된 로드록들에 의해 감소된 공간에서 동작할 수 있게 한다.
[0037] 또한, 종래의 전자 디바이스 제조 시스템들은 팩토리 인터페이스의 측면을 따라 수직으로 바닥으로부터 상향으로 로드 포트를 포지셔닝하며, 이는 수직 볼륨에서 사용 가능한 공간의 대부분 또는 전체를 차지한다. 본 개시내용의 일부 실시예들에서, 로드 포트는 (예를 들어, 2 피트만큼) 단축되고 (바닥에서 직립하는 것이 아니라) 벽 장착형이며, 따라서 로드 포트 아래에 하나 이상의 보조 컴포넌트들을 배치하고 로드 포트와 보조 컴포넌트(들)를 단일 볼륨에 통합하는 것을 허용한다. 따라서, 본 개시내용의 제조 시스템은 감소된 풋프린트를 갖고, 볼륨의 평방 미터당 추가적인 스루풋(throughput)을 허용하며, 이 모두는 전체 시스템 수율 및/또는 비용(예를 들어, 제조 비용, 재료 비용, 포장 비용, 배송 비용 등)을 개선할 수 있다.
[0038] 도 1a 내지 도 1d는 개개의 로드록(120A, 120B)과 상호작용하도록 구성된 2 개의 팩토리 인터페이스 로봇들(126A, 126B)을 갖는 전자 디바이스 제조 시스템(100)을 설명하며, 여기서 로드록들(120A, 120B)은 팩토리 인터페이스(106)의 볼륨에 통합된다. 도 1a는 본 개시내용의 양상들에 따른 예시적인 전자 디바이스 제조 시스템(100)의 개략적인 평면도이다. 도 1b는 본 개시내용의 양상들에 따른 예시적인 전자 디바이스 제조 시스템(100)의 개략적인 정면도이다. 도 1c 및 도 1d는 본 개시내용의 양상들에 따른 예시적인 전자 디바이스 제조 시스템(100)의 개략적인 측면도들이다. 도 1a 내지 도 1d는 예시의 목적들로 사용되며, 상이한 컴포넌트가 각각의 도면과 관련하여 상이한 위치에 포지셔닝될 수 있다는 것이 주목된다.
[0039] 도 2a 내지 도 2f는 개개의 로드록(120A, 120B)과 상호작용하도록 구성된 2 개의 팩토리 인터페이스 로봇들(126A, 126B)을 유사하게 갖는 전자 디바이스 제조 시스템(200)을 설명하며, 여기서 로드록들(120A, 120B)은 팩토리 인터페이스(106)의 볼륨에 통합된다. 전자 디바이스 제조 시스템(200)은 전자 디바이스 제조 시스템(100)과 유사하거나 동일할 수 있다. 특히, 도 1a 내지 도 1d는 전자 디바이스 제조 시스템(100)의 상이한 블록도들을 예시하는 반면, 도 2a 내지 도 2f는 전자 디바이스 제조 시스템(200)의 상이한 컴퓨터 지원 설계(computer aided design; CAD) 도면들을 예시한다. 도 2a는 본 개시내용의 양상들에 따른 전자 디바이스 제조 시스템(200)의 등각도이다. 도 2b는 본 개시내용의 양상들에 따른, 팩토리 인터페이스(106)의 전방에 초점을 맞춘 팩토리 인터페이스(106)의 등각도이다. 도 2c는 본 개시내용의 양상들에 따른, 팩토리 인터페이스(106)의 후방에 초점을 맞춘 팩토리 인터페이스(106)의 다른 등각도이다. 도 2d는 팩토리 인터페이스(106)의 후방에 초점을 맞춘 팩토리 인터페이스(106)의 측면도이다. 도 2e는 본 개시내용의 양상들에 따른 팩토리 인터페이스(106)의 정면도이다. 도 2f는 본 개시내용의 양상들에 따른 팩토리 인터페이스(106)의 평면도이다. 도 2a 내지 도 2f는 예시의 목적들로 사용되며, 상이한 컴포넌트가 각각의 도면과 관련하여 상이한 위치에 포지셔닝될 수 있다는 것이 주목된다.
[0040] 전자 디바이스 제조 시스템들(100 및 200)(전자장치 프로세싱 시스템으로도 각각 지칭됨)은 기판(102) 상에 하나 이상의 프로세스들을 수행하도록 구성된다. 기판(102)은 예를 들어 전자 디바이스들 또는 전자 디바이스들 상의 회로 컴포넌트들을 제조하기에 적합한, 예를 들어 실리콘-함유 디스크 또는 웨이퍼(silicon-containing disc or wafer), 패터닝된 웨이퍼(patterned wafer), 유리 플레이트(glass plate) 등과 같은 임의의 적합한 강성의, 고정 치수의 평면형 물품일 수 있다.
[0041] 전자 디바이스 제조 시스템들(100 및 200)은 프로세스 툴(process tool)(예를 들어, 메인프레임(mainframe))(104) 및 프로세스 툴(104)에 결합된 팩토리 인터페이스(106)를 포함한다. 프로세스 툴(104)은 내부에 이송 챔버(110)를 갖는 하우징(housing)(108)을 포함한다. 이송 챔버(110)는 그 주위에 배치되고 그에 결합된 하나 이상의 프로세싱 챔버(processing chamber)들(프로세스 챔버들로도 지칭됨)(114, 116, 118)을 포함한다. 프로세싱 챔버들(114, 116, 118)은 슬릿 밸브(slit valve)들 등과 같은 개개의 포트들을 통해 이송 챔버(110)에 결합될 수 있다.
[0042] 프로세싱 챔버들(114, 116, 118)은 기판들(102) 상에 임의의 수의 프로세스들을 수행하도록 구성될 수 있다. 동일한 또는 상이한 기판 프로세스가 각각의 프로세싱 챔버(114, 116, 118)에서 일어날 수 있다. 기판 프로세스들의 예들은 원자층 증착(atomic layer deposition; ALD), 물리 기상 증착(physical vapor deposition; PVD), 화학 기상 증착(chemical vapor deposition; CVD), 에칭(etching), 어닐링(annealing), 경화, 사전세정, 금속 또는 금속 산화물 제거 등을 포함할 수 있다. 일 예에서, PVD 프로세스는 프로세스 챔버들(114) 중 하나 또는 둘 모두에서 수행되고, 에칭 프로세스는 프로세스 챔버들(116) 중 하나 또는 둘 모두에서 수행되며, 어닐링 프로세스는 프로세스 챔버들(118) 중 하나 또는 둘 모두에서 수행된다. 다른 프로세스들이 그 내부의 기판들 상에 수행될 수 있다. 프로세싱 챔버들(114, 116, 118)은 각각 기판 지지 조립체를 포함할 수 있다. 기판 지지 조립체는 기판 프로세스가 수행되는 동안에 기판을 제자리에 유지하도록 구성될 수 있다.
[0043] 이송 챔버(110)는 또한 이송 챔버 로봇(transfer chamber robot)(112)을 포함한다. 이송 챔버 로봇(112)은 하나의 또는 다수의 아암들을 포함할 수 있으며, 각각의 아암은 각각의 아암의 단부에 하나 이상의 엔드 이펙터들을 포함한다. 엔드 이펙터는 웨이퍼들과 같은 특정 물체들을 핸들링하도록 구성될 수 있다. 대안적으로 또는 추가적으로, 엔드 이펙터는 프로세스 키트 링(process kit ring)들과 같은 물체들을 핸들링하도록 구성된다. 일부 실시예들에서, 이송 챔버 로봇(112)은 선택적 컴플라이언스 조립 로봇 아암(selective compliance assembly robot arm; SCARA) 로봇, 예컨대 2 링크 SCARA 로봇, 3 링크 SCARA 로봇, 4 링크 SCARA 로봇 등이다.
[0044] 로드록들(120A, 120B)은 하우징(108) 및 이송 챔버(110)에 결합될 수 있다. 로드록들(120A, 120B)은 팩토리 인터페이스(106)의 내부 볼륨 내에 배치될 수 있고, 이송 챔버(110) 및 팩토리 인터페이스(106)와 인터페이싱하도록 구성될 수 있다. 로드록들(120A, 120B)은 일부 실시예들에서 진공 환경(기판들이 이송 챔버(110)로 그리고 이송 챔버(110)로부터 이송되는 경우)으로부터 대기압 또는 거의 대기압의 불활성 가스 환경(기판들이 로드록들 외부에 있는 팩토리 인터페이스(106)의 내부 볼륨으로 그리고 내부 볼륨으로부터 이송되는 경우)으로 변경되는 환경적으로 제어된 분위기를 가질 수 있다. 일부 실시예들에서, 도 1b 및 도 1c에 도시된 바와 같이, 로드록들(120A, 120B) 각각은 상이한 수직 레벨들에(예를 들어, 하나가 다른 하나 위에 놓이는 식으로) 위치되는 하나 이상(예를 들어, 한 쌍)의 상측 내부 챔버들 및 하나 이상(예를 들어, 한 쌍)의 하측 내부 챔버들을 갖는 적층형 로드록(stacked load lock)이다. 일부 실시예들에서, 하나 이상의 상측 내부 챔버들은 프로세스 툴(104)로부터의 제거를 위해 이송 챔버(110)로부터 프로세싱된 기판들을 수용하도록 구성되는 반면, 하나 이상의 하측 내부 챔버들은 프로세스 툴(104)에서의 프로세싱을 위해 팩토리 인터페이스(106)로부터 기판을 수용하도록 구성되거나, 또는 그 반대도 가능하다. 일부 실시예들에서, 도 1d에 도시된 바와 같이, 로드록들(120A, 120B) 각각은 다수의 기판들(예를 들어, 25 개의 기판들)을 유지 및/또는 이송하도록 구성될 수 있는 배치형 로드록(batch load lock)이다. 일부 실시예들에서, 로드록들(120A, 120B)은 내부에 수용된 하나 이상의 기판들(102) 상에 기판 프로세스(예를 들어, 에칭 또는 사전세정)를 수행하도록 구성된다. 따라서, 로드록들(120A, 120B)은 기판들을 가열하기 위한 하나 이상의 가열 엘리먼트들 및/또는 기판들을 냉각하기 위한 냉각 엘리먼트들을 포함할 수 있다.
[0045] 팩토리 인터페이스(106)는 예를 들어, 장비 프론트 엔드 모듈(Equipment Front End Module; EFEM)과 같은 임의의 적합한 인클로저(enclosure)일 수 있다. 팩토리 인터페이스(106)는 팩토리 인터페이스(106)의 다양한 로드 포트들(124)에 도킹된 기판 캐리어들(122A 내지 122F)(예를 들어, 전면 개방 통합 포드(Front Opening Unified Pod; FOUP)들)로부터 기판들(102)을 수용하도록 구성될 수 있다. 제1 예에서, 도 1a에 도시된 바와 같이, 팩토리 인터페이스(106)는 팩토리 인터페이스(106)의 전방 측면에서 하나 이상의 엘리베이션(elevation)들에 포지셔닝될 수 있는 4 개의 로드 포트들(124)을 포함할 수 있다. 제2 예에서, 도 1b, 도 2a, 도 2b, 도 2e 및 도 2f에 도시된 바와 같이, 팩토리 인터페이스(106)는 팩토리 인터페이스(106)의 전방 측면에서 하나 이상의 엘리베이션들에 포지셔닝될 수 있는 6 개의 로드 포트들(124)을 포함할 수 있다. 팩토리 인터페이스(106)는 팩토리 인터페이스(106)의 하나 이상의 측면들에서 동일한 또는 상이한 엘리베이션들에 위치될 수 있는 임의의 수의 로드 포트들(124)로 구성될 수 있다.
[0046] 도 1b, 도 2a, 도 2b 및 도 2e에 도시된 바와 같이, 로드 포트들(124)은 팩토리 인터페이스(106)의 벽들을 따라 상이한 엘리베이션들에 위치될 수 있다. 로드 포트들(124)을 엘리베이팅(elevating)하면, 팩토리 인터페이스(106)의 베이스(base)에 하나 이상의 보조 컴포넌트들(150)을 배치할 수 있게 된다. 보조 컴포넌트들(150)은 하기에서 더 상세하게 설명될 것이다. 일부 실시예들에서, 도 1b, 도 2a, 도 2b 및 도 2e에 도시된 바와 같이, 하나 이상의 로드 포트들(124)은 팩토리 인터페이스(106)의 전방 측면에서 팩토리 인터페이스 베이스에 또는 그 근처에 위치될 수 있는 반면, 하나 이상의 추가 로드 포트들(124)은 더 높은 엘리베이션(예를 들어, 지면으로부터 대략 2 미터)에 위치될 수 있다. 일부 실시예들에서, 하나 이상의 기판 캐리어 엘리베이터(substrate carrier elevator)(113)가 기판 캐리어(122A 내지 122F)를 상승시키도록 구성될 수 있다. 일부 실시예들에서, 기판 캐리어 엘리베이터(113)는 하나 이상의 기판 캐리어들(122A 내지 122F)을 오버헤드 자동화 컴포넌트(overhead automation component)(도시되지 않음)로 상승시킬 수 있다. 오버헤드 자동화 컴포넌트는 하나 이상의 기판 캐리어들(122A 내지 122F)을 하나 이상의 엘리베이팅된 로드 포트들(124)로 전달할 수 있다. 추가로, 오버헤드 자동화 컴포넌트는 하나 이상의 엘리베이팅된 로드 포트들(124)로부터 하나 이상의 기판 캐리어들(112A 내지 112F)을 제거할 수 있다. 일 예에서, 팩토리 작업자는, 기판 캐리어(122A 내지 122F)를 기판 캐리어 엘리베이터(113) 상에 로딩하고, 엘리베이터에 맞물리게 하여 기판 캐리어(122A 내지 122F)를 오버헤드 자동화 컴포넌트로 상승시키고, 오버헤드 자동화 컴포넌트에 맞물리게 하여 기판 캐리어(122A 내지 122F)를 로드 포트(124)로 전달한 후에, 오버헤드 자동화 컴포넌트에 맞물리게 하여, 기판 캐리어(122A 내지 122F)가 비워지면 로드 포트로부터 기판 캐리어(122A 내지 122F)를 제거하며, 엘리베이터에 맞물리게 하여 기판 캐리어(122A 내지 122F)를 하강시킬 수 있다.
[0047] 일부 실시예들에서, 적어도 하나의 로드 포트(124)는 팩토리 작업자들이 접근할 수 있는 더 낮은 엘리베이션에 포지셔닝될 수 있으며, 팩토리 작업자들은 하나 이상의 기판 캐리어들(112A 내지 112F)을 상기 로드 포트(124)로 수동으로 로딩할 수 있다. 하나 이상의 추가 로드 포트들(124)은 더 높은 엘리베이션에 포지셔닝될 수 있으며, 이에 의해 팩토리 작업자들은 기판 캐리어 엘리베이터(113) 및 오버헤드 자동화 컴포넌트를 맞물리게 하여 하나 이상의 기판 캐리어들(112A 내지 112F)을 엘리베이팅된 로드 포트들(124)에 로딩할 수 있다. 그러한 구성은 팩토리 인터페이스의 전방 측면의 베이스에 추가 공간을 허용할 수 있으며, 이에 의해 해당 공간에 배치된 컴포넌트는 전자 디바이스 제조 시스템(100)의 동작 풋프린트를 증가시키지 않을 것이다. 예를 들어, 일부 실시예들에서, 보조 컴포넌트들(150)은 로드 포트들(124)로 대체될 수 있다. 예를 들어, 4 개 또는 6 개의 로드 포트들(124)이 팩토리 인터페이스(106)의 전방 측면에서 팩토리 인터페이스 베이스에 또는 그 근처에 위치될 수 있다. 일부 다른 실시예들에서, 하나 이상의 로드 포트들(124)은 팩토리 인터페이스(106)의 측벽에 로딩될 수 있다.
[0048] 하나 이상의 로드 포트들(124)은 본 개시내용의 양상들에 따른, 팩토리 인터페이스(106)에서 최소량의 수직 공간을 차지하는 설계로 이루어질 수 있다. 이러한 로드 포트들은 도 6a, 도 6b 및 도 7과 관련하여 더 상세하게 논의될 것이다. 일부 실시예들에서, 로드 포트들(124)은 팩토리 인터페이스(106)의 벽들을 따라 상이한 엘리베이션들에 위치될 수 있다. 상기에서 논의된 바와 같이, 로드 포트들(124)을 엘리베이팅하면, 로드 포트(들)(124) 아래에서 팩토리 인터페이스(106)의 베이스에 보조 컴포넌트들(150) 중 하나 이상을 배치할 수 있게 된다.
[0049] 팩토리 인터페이스 로봇들(126A, 126B)은 기판 캐리어들(용기들로도 지칭됨)(122A 내지 122F)과 로드록들(120A, 120B) 사이에서 기판들(102)을 이송하도록 구성될 수 있다. 일 실시예에서, 팩토리 인터페이스(106)는 2 개 이상의 팩토리 인터페이스 로봇들을 포함한다. 예를 들어, 팩토리 인터페이스(106)는 팩토리 인터페이스 내에서 팩토리 인터페이스(106)의 제1 측부(예를 들어, 좌측부)에 배치된 제1 팩토리 인터페이스 로봇(126A) 및 팩토리 인터페이스 내에서 팩토리 인터페이스(106)의 제2 측부(예를 들어, 우측부)에 배치된 제2 팩토리 인터페이스 로봇(126B)을 포함할 수 있다. 일 실시예에서, 제1 및 제2 로드록들(120A, 120B)은 팩토리 인터페이스(106) 내에서 제1 및 제2 팩토리 인터페이스 로봇들(126A, 126B) 사이에 배치되며, 로드록(120A)은 팩토리 인터페이스 로봇(126A)에 더 근접하고, 로드록(120B)은 팩토리 인터페이스 로봇(126B)에 더 근접하여 있다.
[0050] 예에서, 팩토리 인터페이스 로봇(126A)은 제1 세트의 기판 캐리어들(예를 들어, 기판 캐리어들(122A, 122B, 122E))과 로드록(120A) 사이에서 기판들(102)을 이송하도록 구성될 수 있다. 다른 예에서, 팩토리 인터페이스 로봇(126B)은 제2 세트의 기판 캐리어들(예를 들어, 기판 캐리어들(122C, 122D, 122F))과 로드록(120B) 사이에서 기판들(102)을 이송하도록 구성될 수 있다. 그러나, 팩토리 인터페이스 로봇들(126A, 126B)은 기판 캐리어들(122A 내지 122F) 중 임의의 기판 캐리어와 로드록들(120A, 120B) 사이에서 기판들(102)을 이송하도록 구성될 수 있다는 것이 주목된다. 다른 및/또는 유사한 실시예들에서, 팩토리 인터페이스(106)는 교체 부품 보관 용기들로부터 교체 부품들을 수용하도록 구성되고, 팩토리 인터페이스 로봇들(126A, 126B)은 그러한 교체 부품들을 로드록들(120A, 120B) 중 하나 이상의 로드록들 내외로 수송하도록 구성된다. 일부 실시예들에서, 팩토리 인터페이스 로봇(126A)은 로드록(120B)에 접근할 수 없고, 팩토리 인터페이스 로봇(128B)은 로드록(120A)에 접근할 수 없다.
[0051] 팩토리 인터페이스 로봇들(126A, 126B)은 하나 이상의 로봇 아암들을 포함할 수 있고, 각각이 SCARA 로봇, 마스트형 로봇(mast-type robot), 리프트형(예를 들어, 가위형 리프트(scissor lift)) 로봇, 또는 이들의 임의의 조합이거나 이를 포함할 수 있다. 일부 실시예들에서, 팩토리 인터페이스 로봇들(126A, 126B)은 이송 챔버 로봇(112)보다 많은 링크들 및/또는 많은 자유도들을 갖는다. 팩토리 인터페이스 로봇들(126A, 126B) 각각은 개개의 팩토리 인터페이스 로봇들(126A, 126B)의 로봇 아암들 중 하나 이상의 로봇 아암들의 높이를 조정할 수 있는 액추에이터들 또는 조립체들을 포함하여, 팩토리 인터페이스 로봇들(126A, 126B)이 상이한 엘리베이션들에서 로드 포트들에 연결된 캐리어들에 도달하는 것을 가능하게 할 수 있다. 팩토리 인터페이스 로봇들(126A, 126B) 각각은 각각의 로봇 아암의 단부 상에 하나 이상의 엔드 이펙터들을 포함할 수 있다. 엔드 이펙터(들)는 웨이퍼들과 같은 특정 물체들을 픽업하고 핸들링하도록 구성될 수 있다. 대안적으로 또는 추가적으로, 엔드 이펙터(들)는 프로세스 키트 링들과 같은 물체들을 핸들링하도록 구성될 수 있다. 임의의 기존 로봇 유형이 팩토리 인터페이스 로봇들(126A, 126B)에 사용될 수 있다. 이송들은 어떠한 순서 또는 방향으로도 수행될 수 있다. 팩토리 인터페이스 로봇들(126A, 126B)은 도 8 및 도 9a 내지 도 9c와 관련하여 더 상세하게 논의될 것이다.
[0052] 팩토리 인터페이스(106)는 일부 실시예들에서, 예를 들어 약간 양압의 비반응성 가스 환경(예를 들어 비반응성 가스로서 질소를 사용함)에서 유지될 수 있다. 실시예들에서, 팩토리 인터페이스(106)는 하나 이상의 불활성 가스 전달 라인, 하나 이상의 배기 라인, 및 습도, O2 레벨, 온도, 압력, 가스 유량들 및/또는 다른 파라미터들 중 하나 이상을 측정하는 데 사용 가능한 하나 이상의 센서들을 갖는 환경 제어 시스템을 포함한다. 환경 제어 시스템은 하나 이상의 측정된 파라미터들에 기초하여 팩토리 인터페이스 내로 유동되는 가스들 및/또는 가스들의 속도들 및/또는 팩토리 인터페이스로부터 배기되는 가스들의 속도를 조정할 수 있다. 실시예들에서, 팩토리 인터페이스는, 팩토리 인터페이스로부터 배기되는 가스들을 필터링하고 필터링된 가스들을 다시 팩토리 인터페이스 내부로 재순환시킬 수 있는 재순환 시스템을 더 포함한다.
[0053] 로드록들(120A, 120B) 각각은 팩토리 인터페이스 로봇들(126A, 126B) 및 이송 챔버 로봇(112)으로 그리고/또는 이들로부터 기판들을 수용하거나 방출할 때 개방되도록 구성된 하나 이상의 슬릿 밸브들 및/또는 도어들을 포함할 수 있다. 슬릿 밸브들 및/또는 도어들은 진공 환경, 청정 환경 및/또는 온도 제어된 환경을 유지하는 데 사용될 수 있다. 예를 들어, 슬릿 밸브들 및/또는 도어들은 이송 챔버(110) 내의 진공 환경 및 팩토리 인터페이스(106) 내의 불활성 가스 환경을 유지하는 데 사용될 수 있다. 로드록(120A)은 팩토리 인터페이스 로봇(126A)에 대한 접근을 허용할 수 있는 하나(도 1d에 도시됨) 또는 다수(도 1c에 도시됨)의 측면 도어들(128A)을 포함할 수 있다. 로드록(120B)은 팩토리 인터페이스 로봇(126B)에 대한 접근을 허용할 수 있는 하나 이상의 측면 도어들(128B)을 포함할 수 있다. 로드록들(120A, 120B)은 이송 챔버 로봇(112)에 대한 접근을 허용하는 하나 이상의 전방 도어들(도시되지 않음)을 포함할 수 있다.
[0054] 도시된 바와 같이, 일 실시예에서, 측면 도어(128A)는 팩토리 인터페이스(106)의 후방 측면에 대략 수직이고, 또한 도어(130)에 대략 수직이다. 유사하게, 일 실시예에서, 측면 도어(128B)는 팩토리 인터페이스(106)의 후방 측면에 대략 수직이고, 또한 도어(130)에 대략 수직이다. 측면 도어(128A)는 측면 도어(128B)와 반대 방향을 향하여 있을 수 있다. 도시되어 있지는 않지만, 하나 이상의 추가 측면 도어들이 로드록들(120A, 120B)에 포함될 수 있으며, 여기서 하나 이상의 추가 측면 도어들은 로드록들(120A, 120B) 사이에서 이들을 분리한다. 예를 들어, 로드록(120B)의 추가 측면 도어는 측면 도어(128B)의 반대측에 있을 수 있고, 팩토리 인터페이스 로봇(126B)이 로드록(120A)에 기판들을 배치하는 것을 허용하고, 팩토리 인터페이스 로봇(126A)이 로드록(120B)에 기판들을 배치하는 것을 허용하고, 그리고/또는 팩토리 인터페이스 로봇(126A)과 팩토리 인터페이스 로봇(126B) 사이에서 기판들을 핸드오프(handoff)하는 것을 허용하도록 개방될 수 있다.
[0055] 일부 실시예들에서, 팩토리 인터페이스 로봇들(126A, 126B)은 용기들(122A 내지 122F)로부터 기판들을 회수하고 그리고/또는 용기(122A 내지 122F)에 기판들을 배치할 때 팩토리 인터페이스의 전방 측면을 향하고 전방 측면에 대략 수직인 제1 방향으로 엔드 이펙터들을 배향시킬 수 있다. 실시예들에서, 팩토리 인터페이스 로봇(126A)은 로드록(120A)으로부터 기판들을 회수하고 그리고/또는 로드록(120A)에 기판들을 배치할 때 제1 방향에 대략 수직일 수 있는 제2 방향으로 하나 이상의 엔드 이펙터들을 배향시킬 수 있다. 유사하게, 팩토리 인터페이스 로봇(126B)은 로드록(120B)으로부터 기판들을 회수하고 그리고/또는 로드록(120B)에 기판들을 배치할 때 제1 방향에 대략 수직일 수 있는 제3 방향으로 하나 이상의 엔드 이펙터들을 배향시킬 수 있다. 실시예들에서, 제3 방향은 제2 방향으로부터 대략 180 도일 수 있다.
[0056] 일부 실시예들에서, 이송 챔버(110), 프로세스 챔버들(114, 116 및 118) 및/또는 로드록들(120A, 120B)은 진공 레벨로 유지된다. 전자 디바이스 제조 시스템(100)은 전자 디바이스 제조 시스템(100)의 하나 이상의 스테이션(station)들에 결합된 하나 이상의 진공 포트(vacuum port)들을 포함할 수 있다. 예를 들어, 진공 포트들(130)은 로드록들(120A, 120B)에 결합되고 로드록들(120A, 120B)과 이송 챔버(110) 사이에 배치될 수 있다. 일부 실시예들에서, 추가 진공 포트들이 사용될 수 있다. 예를 들어, 추가 진공 포트들(도시되지 않음)은 팩토리 인터페이스(106)를 로드록들(120A, 120B)에 결합시킬 수 있다. 일부 실시예들에서, 팩토리 작업자는 팩토리 인터페이스(106)를 차단하는 일없이 유지보수 또는 수리를 위해 로드록들(120A, 120B)에 접근할 수 있다. 이것은 하기에서 더욱 상세하게 논의될 것이다.
[0057] 일부 실시예들에서, 하나 이상의 유틸리티 라인(utility line)들(도시되지 않음)은 팩토리 인터페이스(106)에 유틸리티들을 제공하도록 구성된다. 유틸리티 라인들은 팩토리 인터페이스(106)에 전력을 제공하도록 구성된 전력 유틸리티 라인, 팩토리 인터페이스(106)에 공기를 제공하도록 구성된 공기 유틸리티 라인(예를 들어, 청정 건조 공기(clean dry air; CDA) 유틸리티 라인), 진공 포트들(130) 및/또는 팩토리 인터페이스(106)의 내부 챔버에 진공을 제공하도록 구성된 진공 유틸리티 라인, 및/또는 팩토리 인터페이스(106)에 질소를 제공하도록 구성된 질소 유틸리티 라인을 포함할 수 있다.
[0058] 하나 이상의 유틸리티 케이블들이 하나 이상의 유틸리티 라인들을 보호하도록 구성될 수 있다. 예를 들어, 각각의 유틸리티 라인은 유틸리티 케이블 내에 밀폐될 수 있다. 다수의 유틸리티 라인들이 동일한 유틸리티 케이블들 내에 밀폐될 수 있고, 그리고/또는 유틸리티 라인들이 별도의 유틸리티 케이블들 내에 포함될 수 있다. 각각의 유틸리티 케이블의 제1 단부는 유틸리티 공급부(예를 들어, 전력 공급부, 공기 공급부, 진공 펌프, 질소 공급부 등)의 출구에 장착될 수 있다. 일부 실시예들에서, 유틸리티 공급부의 출구는 전자 디바이스 제조 시스템(100)의 바닥(또는 벽)에 연결된다. 따라서, 각각의 유틸리티 케이블의 제1 단부는 팹의 접지(예를 들어, 팩토리 인터페이스(106)가 그 위에 설치된 접지)에 장착될 수 있다. 각각의 유틸리티 케이블의 제2 단부는 팩토리 인터페이스(106)의 입구에 장착될 수 있다. 일부 실시예들에서, 입구는 팩토리 인터페이스(106)의 최하부(bottom)에 위치된다. 따라서, 각각의 유틸리티 케이블의 제2 단부는 팩토리 인터페이스(106)의 최하부에 장착된다.
[0059] 전자 디바이스 제조 시스템(100)은 또한 시스템 제어기(140)를 포함할 수 있다. 시스템 제어기(140)는 퍼스널 컴퓨터, 서버 컴퓨터, 프로그래밍가능 로직 제어기(programmable logic controller; PLC), 마이크로컨트롤러(microcontroller) 등과 같은 컴퓨팅 디바이스(computing device)이고 그리고/또는 이를 포함할 수 있다. 시스템 제어기(140)는 마이크로프로세서, 중앙 프로세싱 유닛 등과 같은 범용 프로세싱 디바이스일 수 있는 하나 이상의 프로세싱 디바이스들을 포함할 수 있다. 더 상세하게는, 프로세싱 디바이스는 복잡 명령 세트 컴퓨팅(complex instruction set computing; CISC) 마이크로프로세서, 축소 명령 세트 컴퓨팅(reduced instruction set computing; RISC) 마이크로프로세서, 초장 명령어(very long instruction word; VLIW) 마이크로프로세서, 또는 다른 명령 세트들을 구현하는 프로세서 또는 명령 세트들의 조합을 구현하는 프로세서들일 수 있다. 프로세싱 디바이스는 또한 주문형 집적 회로(application specific integrated circuit; ASIC), 필드 프로그래밍가능 게이트 어레이(field programmable gate array; FPGA), 디지털 신호 프로세서(digital signal processor; DSP), 네트워크 프로세서 등과 같은 하나 이상의 특수 목적 프로세싱 디바이스들일 수 있다. 시스템 제어기(140)는 데이터 저장 디바이스(예를 들어, 하나 이상의 디스크 드라이브들 및/또는 솔리드 스테이트 드라이브(solid state drive)들), 메인 메모리, 정적 메모리, 네트워크 인터페이스 및/또는 다른 컴포넌트들을 포함할 수 있다. 시스템 제어기(140)는 본원에 설명된 방법들 및/또는 실시예들 중 임의의 하나 이상을 수행하기 위한 명령들을 실행할 수 있다. 명령들은 메인 메모리, 정적 메모리, 보조 저장장치 및/또는 프로세싱 디바이스를 (명령들의 실행 동안) 포함할 수 있는 컴퓨터 판독가능 저장 매체에 저장될 수 있다. 시스템 제어기(140)는 팩토리 인터페이스(106) 내의 환경(예를 들어, 압력, 수분 레벨, 진공 레벨 등)을 제어하도록 구성된 환경 제어기를 포함할 수 있다. 실시예들에서, 시스템 제어기(140)에 의한 명령들의 실행은 시스템 제어기가 도 11 및 도 12 중 하나 이상의 방법들을 수행하게 한다. 시스템 제어기(140)는 또한 인간 작업자에 의한 데이터, 동작 지령들 등의 입력 및 표시를 허용하도록 구성될 수 있다.
[0060] 이제 도 1a 및 도 1b를 참조하면, 일부 실시예들에서, 패스스루 영역(pass through area)(115A, 115B, 115C)을 사용하여, 팩토리 인터페이스 로봇(126A)이 하나 이상의 기판을 팩토리 인터페이스 로봇(126B)으로 이송하도록 구성되거나, 또는 그 반대도 가능하다. 제1 예에서, 패스스루 영역(115A)은 도 1a에 도시된 바와 같이 팩토리 인터페이스의 전방 측면과 로드록들의 전방-대향 측면 사이에 배치될 수 있다. 제2 예에서, 패스스루 영역(115B)은 팩토리 인터페이스(106)의 내부 볼륨 내에서 로드록들(120A, 120B) 위에 배치된 비아(via) 또는 개방 공간일 수 있다. 제3 예에서, 패스스루 영역(115C)은 팩토리 인터페이스(106)의 내부 볼륨 내에서 로드록들(120A, 120B)의 한 쌍의 상측 내부 챔버들과 한 쌍의 하측 내부 챔버들 사이에 배치된 개방 공간 또는 비아일 수 있다(예를 들어, 로드록들(120A, 120B)이 각각 적층형 로드록인 경우). 제4 예에서, 패스스루 영역(115D)은 팩토리 인터페이스(106)의 내부 볼륨 내에서 로드록들(120A, 120B) 아래에 배치된 개방 공간 또는 비아일 수 있다. 팩토리 인터페이스 로봇(126A)은 실시예들에서 패스스루 영역들(115A 내지 115D) 중 하나 이상을 통해 하나 이상의 기판들을 팩토리 인터페이스 로봇(126B)으로 이송하도록 구성될 수 있다.
[0061] 일부 실시예들에서, 팩토리 인터페이스 로봇(126A)은 기판(들)을 팩토리 인터페이스 로봇(126B)으로 핸드오프할 수 있고, 그리고 그 반대도 가능하다. 예를 들어, 도 3에 예시된 바와 같이, 기판들(302A, 302B)은 패스스루 영역(310)을 사용하여 팩토리 인터페이스 로봇들(126A, 126B)(도시되지 않음) 사이에서 핸드오프될 수 있다. 일부 실시예들에서, 팩토리 인터페이스 로봇(126A)은 팩토리 인터페이스에 포함된 패스스루 영역들(115A 내지 115D) 중 임의의 패스스루 영역에 있는 선반(shelf) 상에 기판(들)을 배치할 수 있으며, 팩토리 인터페이스 로봇(126B)은 선반으로부터 기판(들)을 회수할 수 있다.
[0062] 도 1b 내지 도 1d, 도 2a, 도 2b, 도 2d 및 도 2e를 참조하면, 팩토리 인터페이스(106)는 팩토리 인터페이스 로봇들에 의해 접근 가능하고 팩토리 인터페이스 미니-환경의 일부인 하나 이상의 보조 컴포넌트들(150)을 포함할 수 있다. 보조 컴포넌트들(150)은 기판 웨이퍼 보관 스테이션, 계측 스테이션들, 냉각 스테이션들, 서버들 등을 포함할 수 있다. 기판 보관 용기는 예를 들어 기판들 및/또는 기판 캐리어들(예를 들어, FOUP들)을 보관할 수 있다. 계측 장비는 전자 디바이스 제조 시스템(100)에 의해 생산된 제품들의 특성 데이터를 결정하는 데 사용될 수 있다. 일부 실시예들에서, 팩토리 인터페이스(106)는 도 1b 및 도 2a 내지 도 2e에 나타낸 바와 같이 상측 격실(160)을 포함할 수 있다. 상측 격실(160)은 전자 시스템들(예를 들어, 서버들, 공기 조화 유닛들 등), 유틸리티 케이블들, 시스템 제어기(140) 또는 다른 컴포넌트들을 수용할 수 있다.
[0063] 팩토리 인터페이스(106)는 로드록들(120A, 120B), 팩토리 인터페이스 로봇들(126A, 126B) 또는 다른 컴포넌트들에 대해 검사하거나 유지보수를 수행하는 데 사용될 수 있는 하나 이상의 접근 도어(access door)들(134, 136)을 포함할 수 있다. 일부 실시예들에서, 팩토리 인터페이스는 측면 접근 도어들(134)을 포함할 수 있다. 일부 실시예들에서, 팩토리 인터페이스(106)는 전방 접근 도어(136)를 포함할 수 있다. 로드록들(120A, 120B)은 측면 도어들(128A, 128B)을 통해 팩토리 인터페이스(106)에 의해 생성된 청정 환경으로부터 절연될 수 있다. 이것은 팩토리 작업자가 팩토리 인터페이스(106)에 의해 생성된 청정 환경을 차단하는 일없이 로드록들(120A, 120B)에 접근할 수 있게 한다.
[0064] 도 1a, 도 1c 및 도 1d에 예시된 실시예들에서, 팩토리 인터페이스의 전방과 로드록들(120A, 120B)의 전방 사이에 개방 공간(예를 들어, 패스스루 영역(115A))이 존재한다. 대안적인 실시예들에서, 로드록들(120A, 120B)은 팩토리 인터페이스(106)의 전방까지 계속(all the way) 연장될 수 있다. 그러한 실시예에서, 로드록들은 팩토리 인터페이스(106)의 내부 볼륨을 외부 환경에 노출시키지 않고 접근될 수 있다. 실시예에서, 로드록들(120A, 120B)은 도어들(130)에 대한 로드록들의 반대측들에 있는 추가 접근 도어들(도시되지 않음)을 포함한다. 그러한 접근 도어들은 도어들(130, 128A, 128B)이 폐쇄되는 동안에 개방되어, 팩토리 인터페이스(106)의 내부를 외부 환경에 노출시키지 않고 로드록들(120A, 120B)에 대한 유지보수 접근을 가능하게 할 수 있다.
[0065] 일부 실시예들에서, 도 1b, 도 2a, 도 2b 및 도 2e에 도시된 바와 같이, 팩토리 인터페이스(106)는 하방 접근 영역(under access area)(170)을 포함할 수 있다. 하방 접근 영역(170)은 팩토리 작업자가 팩토리 인터페이스(106), 로드록들(120A, 120B), 팩토리 인터페이스 로봇들(126A, 126B), 이송 챔버(108), 및/또는 전자 디바이스 제조 시스템(100)의 다른 컴포넌트들에 대한 유지보수를 제공할 수 있게 하는 채널일 수 있다. 팩토리 인터페이스가 하방 접근 영역(170)을 포함하는 일부 실시예들에서, 로드록들(120A, 120B)은 하방 접근 영역(170)으로부터 도달 가능한 유지보수 접근 도어를 로드록들(120A, 120B)의 하방측에 포함한다.
[0066] 설명적인 예에서, 팩토리 인터페이스(106)는 전자 디바이스 제조 시스템(100)의 이송 챔버(110)를 향하도록 구성된 후방 측면, 전방 측면, 우측면 및 좌측면을 포함하는 복수의 측면들을 포함한다. 제1 팩토리 인터페이스 로봇(예를 들어, 팩토리 인터페이스 로봇(126A))은 내부 볼륨 내에서 좌측면에 근접하게 배치되고, 제2 팩토리 인터페이스 로봇(예를 들어, 팩토리 인터페이스 로봇(126B))은 내부 볼륨 내에서 우측면에 근접하게 배치된다. 제1 로드록(예를 들어, 로드록(120A)) 및 제2 로드록(예를 들어, 로드록(120B))은 후방 측면에 인접하게 배치되고, 제1 로드록이 제2 로드록보다 제1 팩토리 인터페이스 로봇에 더 가깝고 제2 로드록이 제1 로드록보다 제2 팩토리 인터페이스 로봇에 더 가깝도록 제1 팩토리 인터페이스 로봇과 제2 팩토리 인터페이스 로봇 사이에 배치된다. 팩토리 인터페이스(106)는 제1 세트의 기판 캐리어들(예를 들어, 기판 캐리어들(122A 내지 122F) 중 하나 이상)을 수용하기 위한 제1 세트의 로드 포트들(예를 들어, 로드 포트들(122) 중 하나 이상)을 포함하며, 여기서 제1 세트의 로드 포트들은 좌측면에 근접한 전방 측면의 제1 부분에 포지셔닝된다. 팩토리 인터페이스(106)는 또한 제2 세트의 기판 캐리어들(예를 들어, 기판 캐리어들(122A 내지 122F) 중 하나 이상)을 수용하기 위한 제2 세트의 로드 포트들(예를 들어, 로드 포트들(122) 중 하나 이상)을 포함하며, 여기서 제2 세트의 로드 포트들은 우측면에 근접한 전방 측면의 제2 부분에 포지셔닝된다. 팩토리 인터페이스(106)는 제1 세트의 로드 포트들 중의 로드 포트(122) 아래에 포지셔닝된 기판 보관 용기 또는 계측 장비 중 적어도 하나를 포함할 수 있다. 복수의 측면들은 이송 챔버(110)를 향하도록 구성된 후방 측면, 우측면 및 좌측면을 포함할 수 있으며, 제1 팩토리 인터페이스 로봇은 내부 볼륨 내에서 좌측면에 근접하게 배치되고, 제1 로드록은 후방 측면에 인접하게, 그리고 제1 팩토리 인터페이스 로봇과 우측면 사이에 배치된다. 제1 로드록은 후방 측면에 대략 수직이고 제1 팩토리 인터페이스 로봇에 의해 접근 가능한 제1 도어(예를 들어, 측면 도어(128A)), 및 후방 측면에 대략 평행하고 이송 챔버 로봇(112)에 의해 접근 가능한 제2 도어를 포함할 수 있다.
[0067] 도 4a는 본 개시내용의 일 실시예에 따른, 프로세스 툴(404) 및 프로세스 툴(404)에 결합된 팩토리 인터페이스(406)를 포함하는 전자 디바이스 제조 시스템(400)의 개략적인 평면도이다. 프로세스 툴(404) 및 팩토리 인터페이스(406)의 컴포넌트들 및 기능들은 각각 프로세스 툴(104) 및 팩토리 인터페이스(406)와 유사할 수 있다. 도 4a 및 도 4b는 도 1a 내지 도 1d를 참조하여 설명된 것과 유사한 예시적인 전자 디바이스 제조 시스템(400)을 설명하지만, 여기서 팩토리 인터페이스(406)는 팩토리 인터페이스(106)의 전방 측면에 벌지형 섹션(bulged section)(410)을 포함한다. 도 4a는 본 개시내용의 양상들에 따른 예시적인 전자 디바이스 제조 시스템(400)의 개략적인 평면도이다. 도 4b는 본 개시내용의 양상들에 따른 예시적인 전자 디바이스 제조 시스템(400)의 개략적인 측면도이다. 벌지형 섹션(410)은 팩토리 인터페이스(406) 내에 추가 공간을 제공할 수 있다. 벌지형 섹션(410)은 로드록들(120A, 120B)로부터 멀리 임의의 길이로 연장될 수 있고, 팩토리 인터페이스(406)의 나머지 부분의 높이와 독립적인 높이를 갖는 최상부 섹션(예를 들어, 천장)을 가질 수 있다. 예를 들어, 벌지형 섹션(410)의 최상부 섹션의 높이는 1 미터, 2 미터, 팩토리 인터페이스(406)의 최상부 섹션과 동일한 높이, 팩토리 인터페이스(406)의 최상부 섹션보다 높은 높이, 또는 어떤 다른 높이일 수 있다. 일부 실시예들에서, 벌지형 섹션(410)은 팩토리 인터페이스(406)의 동작 풋프린트를 증가시키지 않도록 기판 캐리어들(122A 내지 122D)의 단부들까지 연장될 수 있다. 따라서, 실시예들에서, 벌지형 섹션(410)의 전면은 캐리어들(122A 내지 122F)의 전면과 대략 동일 평면상에 있을 수 있다. 일부 실시예들에서, 벌지형 섹션(410)은 기판 보관 용기들, 계측 장비, 서버들, 공기 조화 유닛들 등과 같은(이에 제한되지 않음) 다양한 컴포넌트를 수용하기 위한 추가 공간을 팩토리 인터페이스(406)에 제공한다. 벌지형 섹션(410)은 팩토리 인터페이스(406)의 청정 환경을 공유할 수 있다.
[0068] 일부 실시예들에서, 벌지형 섹션(410) 내에 배치된 패스스루 영역(115)을 사용하여, 팩토리 인터페이스 로봇(126A)이 하나 이상의 기판을 팩토리 인터페이스 로봇(126B)으로 이송하도록 구성될 수 있거나, 또는 그 반대도 가능하다. 예를 들어, 팩토리 인터페이스 로봇(126A)은 벌지형 섹션(410) 내에 배치된 패스스루 영역을 사용하여 기판(들)을 팩토리 인터페이스 로봇(126B)으로 핸드오프할 수 있다. 다른 예에서, 팩토리 인터페이스 로봇(126A)은 벌지형 섹션(410) 내에 배치된 패스스루 영역에 있는 선반 상에 기판(들)을 배치할 수 있고, 팩토리 인터페이스 로봇(126B)은 선반으로부터 기판(들)을 회수할 수 있다.
[0069] 일부 실시예들에서, 로드록들은 팩토리 인터페이스 내에 포함되지 않는다. 그러한 실시예들에서, 팩토리 인터페이스는 2 개 이상의 팩토리 인터페이스들(예를 들어, 좌측 및 우측 팩토리 인터페이스)로 분할될 수 있다. 그런 다음, 로드록들은 좌측 및 우측 팩토리 인터페이스들 사이에 포지셔닝될 수 있다. 이것은 전술한 실시예들과 동일한 방식으로 팩토리 인터페이스와 로드록들의 조합된 전체 풋프린트를 감소시킬 수 있다.
[0070] 설명적인 예에서, 팩토리 인터페이스(406)의 전방 측면은 중앙 부분(예를 들어, 벌지형 섹션(410)), 좌측 전방 부분 및 우측 전방 부분을 포함할 수 있으며, 여기서 중앙 부분은 좌측 전방 부분, 우측 전방 부분 및 후방 측면으로부터 멀리 돌출하고, 제1 로드 포트(예를 들어, 로드 포트들(122) 중 하나)는 전방 좌측 부분 상의 제1 포지션에 포지셔닝되고, 제2 로드 포트(예를 들어, 로드 포트(122) 중 다른 하나)는 우측 전방 부분 상의 제2 포지션에 포지셔닝된다. 팩토리 인터페이스(406)의 내부 볼륨 내에서 중앙 부분에 배치된 비아는 비아를 통해 기판을 제2 팩토리 인터페이스 로봇(예를 들어, 팩토리 인터페이스 로봇(126B))으로 이송하도록 구성된 제1 팩토리 인터페이스 로봇(예를 들어, 팩토리 인터페이스 로봇(126A))에 의해 사용될 수 있다.
[0071] 도 5a 및 도 5b는 제1 로드록(예를 들어, 로드록(120A))이 제1 팩토리 인터페이스(예를 들어, 팩토리 인터페이스(506A))에 연결되고 제2 로드록(예를 들어, 로드록(120B))이 제2 팩토리 인터페이스(예를 들어, 팩토리 인터페이스(506B))에 연결된 전자 디바이스 제조 시스템(300)을 설명한다. 도 5a는 본 개시내용의 양상들에 따른 예시적인 전자 디바이스 제조 시스템(500)의 개략적인 평면도이다. 도 5b는 본 개시내용의 양상들에 따른 예시적인 전자 디바이스 제조 시스템(500)의 개략적인 정면도이다.
[0072] 전자 디바이스 제조 시스템(500)은 프로세스 툴(504), 프로세스 툴(504)에 결합된 로드록들(520A, 520B), 및 팩토리 인터페이스들(506A, 506B)을 포함하며, 여기서 팩토리 인터페이스(506A)는 로드록(520A)에 결합되고, 팩토리 인터페이스(506B)는 로드록(520B)에 결합된다. 프로세스 툴(504), 로드록들(520A, 520B) 및 팩토리 인터페이스들(506A, 506B)의 컴포넌트들 및 기능들은 각각 프로세스 툴(104), 로드록들(120A, 120B) 및 팩토리 인터페이스(106)와 유사할 수 있다.
[0073] 로드록들(520A, 520B)은 하우징(108) 및 이송 챔버(110)에 결합될 수 있다. 로드록들(520A, 520B)은 이송 챔버(110) 및 팩토리 인터페이스들(506A, 506B)과 인터페이싱하도록 구성될 수 있다. 로드록들(520A, 520B)은 일부 실시예들에서 진공 환경(기판들이 이송 챔버(110)로 그리고 이송 챔버(110)로부터 이송되는 경우)으로부터 대기압 또는 거의 대기압의 불활성 가스 환경(기판들이 팩토리 인터페이스들(506A, 506B)로 그리고 팩토리 인터페이스들(506A, 506B)로부터 이송되는 경우)으로 변경되는 환경적으로 제어된 분위기를 가질 수 있다. 일부 실시예들에서, 로드록들(520A, 520B) 각각은 상이한 수직 레벨들에(예를 들어, 하나가 다른 하나 위에 놓이는 식으로) 위치되는 한 쌍의 상측 내부 챔버들 및 한 쌍의 하측 내부 챔버들을 갖는 적층형 로드록이다. 일부 실시예들에서, 로드록들(520A, 520B) 각각은 배치형 로드록이다. 도시된 수보다 많거나 적은 로드록들이 사용될 수 있다.
[0074] 팩토리 인터페이스(106)와 유사하게, 팩토리 인터페이스들(506A, 506B) 각각은 예를 들어 장비 프론트 엔드 모듈(EFEM)과 같은 임의의 적합한 인클로저일 수 있다. 팩토리 인터페이스(506A)는 팩토리 인터페이스(506A)의 다양한 로드 포트들(124)에 도킹된 기판 캐리어들(122A, 122B)로부터 기판들(102)을 수용하도록 구성될 수 있다. 2 개의 기판 캐리어들(122A, 122B)이 도시되어 있지만, 더 많거나 더 적은 기판 캐리어들이 팩토리 인터페이스(506A)에 연결될 수 있다는 점에 주목한다. 팩토리 인터페이스(506B)는 팩토리 인터페이스(506B)의 다양한 로드 포트들(124)에 도킹된 기판 캐리어들(122C, 122D)로부터 기판들(102)을 수용하도록 구성될 수 있다. 2 개의 기판 캐리어들(122C, 122D)이 도시되어 있지만, 더 많거나 더 적은 기판 캐리어들이 팩토리 인터페이스(506B)에 연결될 수 있다는 점에 주목한다. 로드 포트들(124) 및 부착된 기판 캐리어들은 팩토리 인터페이스(106)의 벽들을 따라 상이한 엘리베이션들에 위치될 수 있다. 로드 포트들(124)을 엘리베이팅하면, 팩토리 인터페이스(106)의 베이스에 하나 이상의 보조 컴포넌트들(도시되지 않음)을 배치할 수 있게 되고, 그리고/또는 다수의 기판 캐리어들이 수직으로 적층될 수 있게 된다.
[0075] 팩토리 인터페이스 로봇들(526A, 526B)은 각각 팩토리 인터페이스 로봇들(126A, 126B)과 유사할 수 있고, 기판 캐리어들(122A 내지 122D)과 로드록들(520A, 520B) 사이에서 기판들(102)을 이송하도록 구성될 수 있다. 예를 들어, 팩토리 인터페이스 로봇(526A)은 기판 캐리어들(122A, 122B)과 로드록(520A) 사이에서 기판들(102)을 이송하도록 구성될 수 있고, 팩토리 인터페이스 로봇(526B)은 기판 캐리어들(122C, 122D)과 로드록(520B) 사이에서 기판들(102)을 이송하도록 구성될 수 있다. 일 실시예에서, 팩토리 인터페이스(506A)는 하나 이상의 팩토리 인터페이스 로봇들을 포함하고, 팩토리 인터페이스(506B)는 하나 이상의 팩토리 인터페이스 로봇들을 포함한다. 예를 들어, 팩토리 인터페이스(506A)는 팩토리 인터페이스(506A) 내에 배치된 제1 팩토리 인터페이스 로봇(526A)을 포함할 수 있고, 팩토리 인터페이스(506B)는 팩토리 인터페이스(506B) 내에 배치된 제2 팩토리 인터페이스 로봇(526B)을 포함할 수 있다. 일 실시예에서, 제1 및 제2 로드록들(520A, 520B)은 제1 및 제2 팩토리 인터페이스 로봇들(526A, 526B) 사이에 배치되며, 로드록(520A)은 팩토리 인터페이스 로봇(526A)에 더 가깝고, 로드록(520B)은 팩토리 인터페이스 로봇(526B)에 더 가깝다.
[0076] 제1 진공 포트(도시되지 않음)는 팩토리 인터페이스(506A)를 로드록(520A)에 결합할 수 있고, 제2 진공 포트는 팩토리 인터페이스(506B)를 로드록(520B)에 결합할 수 있다. 각각의 로드록(520A, 520B)의 분위기는 다른 로드록(520A, 520B)과 독립적으로 조절될 수 있다. 이것은 다른 로드록 및 팩토리 인터페이스가 계속 동작하는 동안에 팩토리 작업자가 유지보수 또는 수리를 위해 하나의 로드록 및 팩토리 인터페이스에 접근할 수 있게 한다.
[0077] 팩토리 인터페이스(106)는 하나 이상의 보조 컴포넌트들(150)을 포함할 수 있다. 보조 컴포넌트들(150)은 기판 보관 용기들, 계측 장비, 서버들, 공기 조화 유닛들 등을 포함할 수 있다. 기판 보관 용기는 기판들 및/또는 기판 캐리어들(예를 들어, FOUP들)을 보관할 수 있다. 계측 장비는 전자 디바이스 제조 시스템(100)에 의해 생산된 제품들의 특성 데이터를 결정하는 데 사용될 수 있다.
[0078] 일부 실시예들에서, 팩토리 인터페이스(506A)는 도 5b에 나타낸 바와 같이 상측 격실(560A)을 포함할 수 있고, 팩토리 인터페이스(506B)는 상측 격실(560B)을 포함할 수 있으며, 로드록들(520A, 520B)은 중간 격실(580) 및 하측 격실(570)을 포함할 수 있다. 이러한 격실들 각각은 전자 시스템들(예를 들어, 서버들, 공기 조화 유닛들 등), 유틸리티 케이블들, 시스템 제어기(140) 또는 다른 컴포넌트들 중 하나 이상을 수용할 수 있다.
[0079] 도시된 바와 같이, 일 실시예에서, 측면 도어(128A)는 팩토리 인터페이스(506A)의 후방 측면에 대략 수직이고, 또한 도어(150)에 대략 수직이다. 유사하게, 일 실시예에서 측면 도어(128B)는 팩토리 인터페이스(506B)의 후방 측면에 대략 수직이고, 또한 도어(150)에 대략 수직이다. 측면 도어(128A)는 측면 도어(128B)와 반대 방향을 향하여 있을 수 있다. 도시되어 있지는 않지만, 하나 이상의 추가 측면 도어들이 로드록들(520A, 520B)에 포함될 수 있으며, 여기서 하나 이상의 추가 측면 도어들은 로드록들(520A, 520B) 사이에서 이들을 분리한다. 예를 들어, 로드록(520B)의 추가 측면 도어는 측면 도어(528B)의 반대측에 있을 수 있고, 팩토리 인터페이스 로봇(526B)이 로드록(520A)에 기판들을 배치하는 것, 팩토리 인터페이스 로봇(526A)이 로드록(520B)에 기판들을 배치하는 것, 및/또는 팩토리 인터페이스 로봇(526A)과 팩토리 인터페이스 로봇(526B) 사이에서 기판들을 핸드오프하는 것을 허용하도록 개방될 수 있다.
[0080] 실시예들에서, 팩토리 인터페이스 로봇들(526A, 526B)은 용기들(122A 내지 122D)로부터 기판들을 회수하고 그리고/또는 용기(122A 내지 122D)에 기판들을 배치할 때 팩토리 인터페이스의 전방 측면을 향하고 전방 측면에 대략 수직인 제1 방향으로 엔드 이펙터들을 배향시킬 수 있다. 실시예들에서, 팩토리 인터페이스 로봇(526A)은 로드록(520A)으로부터 기판들을 회수하고 그리고/또는 로드록(520A)에 기판들을 배치할 때 제1 방향에 대략 수직일 수 있는 제2 방향으로 하나 이상의 엔드 이펙터들을 배향시킬 수 있다. 유사하게, 팩토리 인터페이스 로봇(526B)은 로드록(520B)으로부터 기판들을 회수하고 그리고/또는 로드록(120B)에 기판들을 배치할 때 제1 방향에 대략 수직일 수 있는 제3 방향으로 하나 이상의 엔드 이펙터들을 배향시킬 수 있다. 실시예들에서, 제3 방향은 제2 방향으로부터 대략 180 도일 수 있다.
[0081] 로드록들(520A, 520B)은 팩토리 인터페이스들(506A, 506B)의 내부 볼륨을 외부 환경에 노출시키지 않고 접근될 수 있다. 일 실시예에서, 로드록들(520A, 520B)은 도어(150)에 대한 로드록들의 반대측들에 있는 추가 접근 도어들(도시되지 않음)을 포함한다. 그러한 접근 도어들은 도어들(150, 128A, 128B)이 폐쇄되는 동안에 개방되어, 팩토리 인터페이스(506A, 506B)의 내부를 외부 환경에 노출시키지 않고 로드록들(520A, 520B)에 대한 유지보수 접근을 가능하게 할 수 있다.
[0082] 이제 도 5b를 참조하면, 일부 실시예들에서, 패스스루 영역(515)을 사용하여, 팩토리 인터페이스 로봇(526A)이 하나 이상의 기판을 팩토리 인터페이스 로봇(526B)으로 이송하도록 구성될 수 있거나, 또는 그 반대로도 가능하다. 일 예에서, 패스스루 영역(515)은 로드록들(520A, 520B)의 한 쌍의 상측 내부 챔버들과 한 쌍의 하측 내부 챔버들 사이의 비아일 수 있다(예를 들어, 로드록들(120A, 120B)이 각각 적층형 로드록인 경우). 일부 실시예들에서, 패스스루 영역(515)은 진공 환경(기판들이 이송 챔버(110)로 그리고 이송 챔버(110)로부터 이송되는 경우)으로부터 대기압 또는 거의 대기압의 불활성 가스 환경(기판들이 로드록들 외부에 있는 팩토리 인터페이스(106)의 내부 볼륨으로 그리고 내부 볼륨으로부터 이송되는 경우)으로 변경되는 환경적으로 제어된 분위기의 일부일 수 있다. 예를 들어, 패스스루 영역(515)은 로드록들 중 하나 이상의 환경적으로 제어된 영역의 일부일 수 있거나, 자신의 별도의 환경적으로 제어된 영역을 가질 수 있다. 패스스루 영역(515)이 상측 내부 챔버들과 하측 내부 챔버들 사이에 있는 일 실시예에서, 패스스루 영역은 로드록들을 수용하는 인클로저 내에 포함되고, 팩토리 인터페이스의 환경으로부터 패스스루 영역(515)을 밀봉하는 데 사용될 수 있는 슬릿 밸브들을 포함한다. 대안적으로, 패스스루 영역(515)은 팩토리 인터페이스의 환경에 및/또는 그 일부에 노출될 수 있다. 대안적으로 또는 추가적으로, 비아들은 로드록들 위 및/또는 아래에 포지셔닝될 수 있으며, 팩토리 인터페이스들(506A, 506B) 사이에 패스스루 영역들을 제공할 수 있다. 일부 실시예들에서, 로드록들 위 및/또는 아래에 포지셔닝된 비아들 중 하나 또는 둘 모두는 로드록들 중 하나 이상의 로드록들의 환경적으로 제어된 분위기의 일부일 수 있다. 팩토리 인터페이스 로봇(526A)은 패스스루 영역들 중 임의의 패스스루 영역을 통해 하나 이상의 기판들을 팩토리 인터페이스 로봇(526B)으로 이송하도록 구성될 수 있고, 그리고 그 반대도 가능하다. 일부 실시예들에서, 팩토리 인터페이스 로봇(526A)은 기판(들)을 팩토리 인터페이스 로봇(526B)으로 핸드오프할 수 있다. 일부 실시예들에서, 팩토리 인터페이스 로봇(526A)은 패스스루 영역에 있는 선반 상에 기판(들)을 배치할 수 있고, 팩토리 인터페이스 로봇(526B)은 선반으로부터 기판(들)을 회수할 수 있다.
[0083] 설명적인 예에서, 전자 디바이스 제조 시스템(500)은 이송 챔버(110), 이송 챔버에 연결된 복수의 프로세싱 챔버들(114, 116, 118), 및 제1 로드록(예를 들어, 로드록(520A))을 포함하며, 제1 로드록은 제1 측면, 및 제1 로드록의 제1 측면에 대략 수직인 제2 측면을 갖고, 여기서 제1 로드록의 제1 측면은 이송 챔버(110)에 연결된다. 또한, 전자 디바이스 제조 시스템(500)은 제2 로드록(예를 들어, 로드록(520B))을 포함하며, 제2 로드록은 제1 측면, 및 제2 로드록의 제1 측면에 대략 수직인 제2 측면을 갖고, 여기서 제2 로드록의 제1 측면은 이송 챔버(110)에 연결된다. 제1 팩토리 인터페이스(506A)는 제1 로드록의 제2 측면에 연결되고, 제2 팩토리 인터페이스(506B)는 제2 로드록의 제2 측면에 연결된다. 제1 팩토리 인터페이스(506A)는 제1 분위기 환경을 포함할 수 있고, 제2 팩토리 인터페이스(506B)는 제2 분위기 환경을 포함할 수 있다.
[0084] 도 6a 및 도 6b는 본 개시내용의 일 실시예에 따른, 표시등(indicator light)(610), 로드 포트 제어기(615), 공압 제어부(620), 퍼지 키트(purge kit)(625) 및 스테이지(640)를 포함하는 예시적인 로드 포트(600)를 설명한다. 로드 포트(600)의 컴포넌트 및 기능들은 로드 포트(124)와 유사할 수 있다. 도 6a는 본 개시내용의 일 실시예에 따른 예시적인 로드 포트(600)의 개략적인 정면도이다. 도 6b는 본 개시내용의 일 실시예에 따른 예시적인 로드 포트(600)의 개략적인 측면도이다.
[0085] 도 6a에 예시된 바와 같이, 로드 포트 도어(605)는 팩토리 인터페이스(106) 내의 환경적으로 제어된 분위기를 유지하기 위해 수송 개구에 고정되도록 폐쇄 포지션(630)에 포지셔닝될 수 있다. 로드 포트 도어(605)는 도어 메커니즘을 사용하여 개방 포지션(635)에 포지셔닝될 수 있으며, 이는 도 7에서 더 상세하게 설명될 것이다. 개방 포지션(635)에 있는 동안, 로드 포트(600)의 수송 개구는 기판들(예를 들어, 웨이퍼들)이 팩토리 인터페이스 로봇(126)을 사용하여 로드 포트(600)에 결합된 기판 캐리어(122)와 팩토리 인터페이스(106) 사이에서 이송될 수 있게 한다. 일부 실시예들에서, 로드 포트 도어(605)는 기판 캐리어 도어에 결합될 수 있다. 따라서, 로드 포트 도어(605)가 개방 포지션(635)에 포지셔닝되는 것에 응답하여, 로드 포트 도어(605)는 기판 캐리어로부터 기판 캐리어 도어를 제거할 수 있다. 따라서, 로드 포트 도어(605)가 폐쇄 포지션(630)에 포지셔닝되는 것에 응답하여, 로드 포트 도어(605)는 기판 캐리어 도어를 기판 캐리어에 부착할 수 있다.
[0086] 로드 포트(600)는 팩토리 인터페이스(106)에서 최소량의 수직 공간을 차지하도록 설계될 수 있다. 일부 실시예들에서, 로드 포트(600)의 높이는 개방 포지션(630) 및 폐쇄 포지션(635)에서 로드 포트 도어(605)가 차지하는 수직 공간과 상관될 수 있다. 특히, 로드 포트(600)의 높이는 로드 포트 도어(605)의 높이의 대략 2배일 수 있다. 예시적인 예로서, 로드 포트 도어(605)는 대략 315 밀리미터의 높이를 가질 수 있다. 따라서, 로드 포트(600)는 대략 650 밀리미터 이하의 높이를 가질 수 있으며, 이는 로드 포트 도어(605)의 높이의 대략 2배이고, 기존의 로드 포트들의 1300 밀리미터 초과 높이보다 상당히 작다. 도 6b에 예시적인 예로서 예시된 바와 같이, 로드 포트(600)는 대략 450 밀리미터 이하의 폭을 가질 수 있다. 로드 포트(600)는 SEMI(Semiconductor Equipment and Materials International) 표준들 및 요건들을 따를 수 있다.
[0087] 표시등(610)은 로드 포트 도어(605)가 폐쇄 포지션(635)에 있는지 또는 개방 포지션(630)에 있는지를 나타낼 수 있다. 예를 들어, 표시등(610)은 로드 포트 도어(605)가 개방 포지션(630)에 있는 것에 응답하여 켜지고, 로드 포트 도어(605)가 폐쇄 포지션(630)에 있는 것에 응답하여 꺼질 수 있다. 다른 실시예들에서, 표시등(610)은 기판 캐리어(122)가 로드 포트(600)에 적절하게 고정되었는지 여부를 나타낼 수 있다.
[0088] 로드 포트 제어기(615)는 프로그래밍가능 논리 제어기(PLC), 마이크로컨트롤러 등과 같은 컴퓨팅 디바이스이고 그리고/또는 이를 포함할 수 있다. 로드 포트 제어기(615)는 마이크로프로세서, 중앙 프로세싱 유닛 등과 같은 범용 프로세싱 디바이스일 수 있는 하나 이상의 프로세싱 디바이스들을 포함할 수 있다. 로드 포트 제어기(615)는 데이터 저장 디바이스(예를 들어, 하나 이상의 디스크 드라이브들 및/또는 솔리드 스테이트 드라이브들), 메인 메모리, 정적 메모리, 네트워크 인터페이스 및/또는 다른 컴포넌트들을 포함할 수 있다. 로드 포트 제어기(615)는 본원에 설명된 방법들 및/또는 실시예들 중 어느 하나 이상을 수행하기 위한 명령들을 실행할 수 있다. 예를 들어, 로드 포트 제어기(615)는 로드 포트 도어를 동작시키고(예를 들어, 로드 포트 도어(605)를 개방 포지션(635)에 포지셔닝하고, 로드 포트 도어(605)를 폐쇄 포지션(630)에 포지셔닝함), 표시등(610)을 켜고/끄고, 공압 제어부(620), 퍼지 키트(625), 입자 포획 메커니즘(650) 등을 활성화 및 비활성화하고 그리고/또는 이들과 통신할 수 있다. 명령들은 메인 메모리, 정적 메모리, 보조 저장장치 및/또는 프로세싱 디바이스를 (명령들의 실행 동안) 포함할 수 있는 컴퓨터 판독가능 저장 매체에 저장될 수 있다. 실시예들에서, 로드 포트 제어기(615)에 의한 명령들의 실행은 도 11의 방법들을 적어도 부분적으로 수행할 수 있다. 로드 포트 제어기(615)는 또한 인간 작업자 또는 시스템 제어기(140)에 의한 데이터, 동작 지령들 등의 입력 및 표시를 허용하도록 구성될 수 있다. 일부 실시예들에서, 로드 포트 제어기(615)는 기판 로딩 및 언로딩 프로세스 동안에 자동 로트 식별(automatic lot identification)을 수행하기 위한 무선 주파수 식별(radio frequency identification; RFID) 시스템을 포함할 수 있다.
[0089] 공압 제어부(620)는 차동 압력들 및/또는 유동들을 사용하여 기계적 밸브들 및 유사한 디바이스들에 연결된 가요성 다이어프램(flexible diaphragm)들을 가압하여 스위치(switch)들을 동작시키고, 밸브들을 개방하거나 폐쇄하며, 댐퍼(damper)들을 이동시키는 것 등에 의해 공압 디바이스 또는 유사한 메커니즘을 동작시킬 수 있다. 예로서, 공압 제어부(620)는 압축 공기 또는 가스들을 사용하여 공압 디바이스를 동작시킬 수 있다. 공압 디바이스는 로드 포트 도어(605)에 결합될 수 있다. 공압 제어부(620)는 로드 포트 제어기(615)로부터 명령들을 수신하고 그리고/또는 로드 포트 제어기(615)에 의해 동작될 수 있다. 예를 들어, 로드 포트 제어기(615)는 로드 포트 도어(605)를 개방 포지션(635) 및 폐쇄 포지션(630)에 포지셔닝하도록 공압 제어부(620)에 지령할 수 있다.
[0090] 퍼지 키트(625)는 기판 캐리어(122)가 전자 디바이스 제조 시스템(100)에 의해 프로세싱될 때 질소(N2) 또는 아르곤과 같은 임의의 다른 실행 가능한 불활성 가스로 기판 캐리어(122)를 퍼지할 수 있게 한다. 퍼지 키트(625)는 하나 이상의 기판간 퍼지 노즐 어레이(inter-substrate purge nozzle array)들, 하나 이상의 커튼 노즐 어레이(curtain nozzle array)들 등을 포함할 수 있다. 노즐 어레이들로부터의 가스 유동들의 조합은 기판 캐리어(122)의 최적의 퍼지를 달성하도록 로드 포트 제어기(615)에 의해 제어될 수 있다. 스테이지(640)는 로드 포트(600)로부터 수평으로 돌출될 수 있고, 기판 캐리어들(122)의 배치를 지원할 수 있다.
[0091] 로드 포트(600)는 로드 포트(600)를 팩토리 인터페이스(106)에 결합하기 위한 하나 이상의 세트들의 장착 구멍들을 가질 수 있다. 예시적인 예로서, 로드 포트(600)는 2 개의 세트들의 장착 구멍들을 포함할 수 있다. 예를 들어, 제1 쌍의 장착 구멍들은 로드 포트(600)의 전방 상측 코너들에 위치될 수 있고, 제2 쌍의 장착 구멍들은 로드 포트(600)의 전방 하측 코너들에 위치될 수 있다. 비교하자면, 기존의 로드 포트들은 3 개의 세트들의 장착 구멍들(최상부 세트, 중간 세트 및 최하부 세트)을 필요로 한다. 따라서, 로드 포트(600)는 더 적은 수의 파스너(fastener)들(예를 들어, 볼트들, 나사들, 리벳(rivet)들 등)을 사용하여 팩토리 인터페이스(106)의 벽에 결합될 수 있다. 일부 실시예들에서, 로드 포트(600)는 하나 이상의 장착 랙(mounting rack)들을 사용하여 팩토리 인터페이스(106)의 벽 상에 장착될 수 있다. 로드 포트(600)의 장착은 SEMI 표준들 및 요건들을 따를 수 있다.
[0092] 도 6b에 예시된 바와 같이, 로드 포트(600)는 입자 포획 메커니즘(650)을 포함할 수 있다. 입자 포획 메커니즘(650)은 로드 포트 도어(605)의 모션, 퍼지 키트(625) 등에 의해 발생된 입자들(예를 들어, 먼지 입자들)을 포착하도록 설계된 임의의 유형의 컴포넌트 또는 메커니즘일 수 있다. 따라서, 입자 포획 메커니즘(650)은 기판 캐리어(122)로부터의 입자들이 팩토리 인터페이스(106)를 오염시키는 것을 방지할 수 있다. 일부 실시예들에서, 입자 포획 메커니즘(650)은 로드 포트(600) 개구의 하측 립(lower lip)에 또는 그 주위에 포지셔닝될 수 있다. 일부 실시예들에서, 입자 포획 메커니즘(650)은 배기 시스템을 포함할 수 있다. 배기 시스템은 수집된 입자들을 팩토리 인터페이스(106) 밖으로, 수집 메커니즘 등으로 안내할 수 있다.
[0093] 도 7은 본 개시내용의 실시예들에 따른 예시적인 도어 메커니즘(700)의 개략적인 정면도이다. 도어 메커니즘(700)은 로드 포트 도어(605)를 개방 포지션(635) 및 폐쇄 포지션(630)에 포지셔닝할 수 있다. 도어 메커니즘(700)은 액추에이터(705) 및 아웃리거(outrigger)(710)를 포함할 수 있다.
[0094] 액추에이터(705)는 하나 이상의 공압 디바이스들, 전기기계적 구동식 디바이스들 또는 유사한 메커니즘들을 포함할 수 있으며, 공압 디바이스(들)는 압축 공기 또는 가스들을 사용하여 액추에이터(705)를 바아(bar)(715)를 따라 수직으로 슬라이딩시킬 수 있다. 액추에이터(705)는 로드 포트 도어(605)에 결합될 수 있다. 일부 실시예들에서, 공압 디바이스는 로드 포트 도어(605)를 폐쇄 포지션(630)에 포지셔닝하도록 액추에이터(705)를 바아(715)를 따라 상향으로 슬라이딩시킬 수 있고, 로드 포트 도어(605)를 개방 포지션(635)에 포지셔닝하도록 액추에이터(705)를 바아(715)를 따라 하향으로 슬라이딩시킬 수 있다. 일부 실시예들에서, 액추에이터(705)는 로드 포트 도어(605)가 폐쇄 포지션(630)으로부터 개방 포지션(635)으로, 그리고 그 반대로 회전 개방되는 것(예를 들어, 원호 운동으로 이동함)을 가능하게 할 수 있는 컴포넌트들을 포함할 수 있다.
[0095] 공압 제어부(620)는, 차동 압력들 및/또는 유동들을 사용하여 기계적 밸브들 및 유사한 디바이스들에 연결된 가요성 다이어프램들을 가압하여 스위치들을 동작시키고, 밸브들을 개방하거나 폐쇄하며, 댐퍼들을 이동시키는 것 등에 의해 공압 디바이스를 동작시킬 수 있다. 예로서, 공압 제어부(620)는 압축 공기 또는 가스들을 사용하여 공압 디바이스를 동작시킬 수 있다. 공압 디바이스는 로드 포트 도어(605)에 결합될 수 있다. 공압 제어부(620)는 로드 포트 제어기(615)로부터 명령들을 수신하고 그리고/또는 로드 포트 제어기(615)에 의해 동작될 수 있다. 예를 들어, 로드 포트 제어기(615)는 로드 포트 도어(605)를 개방 포지션(635) 및 폐쇄 포지션(630)에 포지셔닝하도록 공압 제어부(620)에 지령할 수 있다.
[0096] 아웃리거(710)는 로드 포트가 팩토리 인터페이스에 결합되지 않은 경우에 로드 포트의 이동 또는 핸들링을 지원하기 위한 선반 또는 브래킷과 같은 임의의 유형의 구조체일 수 있다. 일부 실시예들에서, 아웃리거(710)는 선택적이고 제거 가능한 컴포넌트일 수 있다.
[0097] 다른 실시예들에서, 로드 포트 도어는 피봇 메커니즘(pivot mechanism)에 부착될 수 있다. 피봇 메커니즘은 로드 포트의 전면의 적어도 하나의 측부에 부착될 수 있다. 로드 포트가 팩토리 인터페이스(예를 들어, 팩토리 인터페이스(106))에 연결되면, 팩토리 인터페이스 로봇(예를 들어, 팩토리 인터페이스 로봇(126)) 또는 액추에이터는 로드 포트 도어를 분리하고, 로드 포트 도어를 수평 및/또는 수직으로 이동하고 그리고/또는 로드 포트 도어를 피봇 메커니즘의 축을 중심으로 회전시킴으로써 로드 포트 도어를 폐쇄 포지션으로부터 개방 포지션으로 포지셔닝할 수 있다. 실시예들에서 축은 수직 축 또는 수평 축일 수 있다. 예시적인 예로서, 팩토리 인터페이스 로봇 또는 액추에이터는 도어를 피봇 메커니즘의 축을 중심으로 대략 90 도 회전시킬 수 있다. 따라서, 피봇 메커니즘은 로드 포트가 대략 로드 포트 도어의 높이와 같은 전체 높이 및 대략 로드 포트 도어의 폭과 같은 전체 폭을 가질 수 있게 한다. 일 예에서, 도어는 로드 포트의 개구의 전방에 경로를 확보하도록 수직 및/또는 수평으로 병진이동될 수 있다. 수직 및/또는 수평 병진이동은 전술한 바와 같이 회전을 수반할 수 있다.
[0098] 도 8은 본 개시내용의 실시예들에 따른 팩토리 인터페이스 로봇(800)의 사시도이다. 일부 실시예들에서, 팩토리 인터페이스 로봇(800)은 팩토리 인터페이스 로봇(126A, 126B)과 유사할 수 있고, 팩토리 인터페이스(예를 들어, 팩토리 인터페이스(106)) 내에 포지셔닝될 수 있다. 팩토리 인터페이스 로봇(800)은 수직 타워(810), 링크들(812), 및 엔드 이펙터(814)를 포함할 수 있다.
[0099] 수직 타워(810)는 링크들(812)이 Z 방향으로(예를 들어, 수직방향 상하로) 횡단할 수 있게 하도록 구성된 구조체일 수 있다. 수직 타워(810)는 링크들(812)에 z축을 따른 선형 이동을 제공하도록 구성된 수직 구동 메커니즘을 포함할 수 있다. 예를 들어, 링크들(812) 중 하나 이상의 링크들은 수직 구동 메커니즘에 결합되도록 구성될 수 있다. 일부 실시예들에서, 수직 구동 메커니즘은 벨트 조립체(belt assembly), 체인 조립체(chain assembly), 선형 구동 조립체, 슬라이드 조립체(slide assemble), 액추에이터 조립체, 피스톤 조립체(piston assembly), 이들의 임의의 조합, 또는 링크들(812)이 Z축을 따라 횡단하는 것을 가능하게 할 수 있는 임의의 다른 조립체 또는 메커니즘을 포함할 수 있다. 일부 실시예들에서, 수직 타워(810)는 팩토리 인터페이스 로봇(800)의 측방향 이동을 가능하게 하도록 구성된 베이스에 결합될 수 있다. 베이스는 예를 들어 벨트 조립체, 체인 조립체, 선형 구동 조립체, 슬라이드 조립체, 액추에이터 조립체, 피스톤 조립체, 이들의 임의의 조합, 또는 팩토리 인터페이스 내에서 측방향으로 팩토리 인터페이스 로봇(800)을 횡단시킬 수 있는 임의의 다른 조립체 또는 메커니즘과 같은 수평 구동 메커니즘을 포함할 수 있다.
[00100] 링크들(812)은 조인트를 통해 서로 결합된 하나 이상의 로봇 링크들(예를 들어, 아암들)을 포함할 수 있다. 링크들(812) 중 근위 링크(예를 들어, 하기에서 더 상세하게 설명되는 도 9a 내지 도 9c에 나타낸 바와 같은 링크(812A))는 수직 구동 메커니즘에 결합될 수 있는 반면, 링크들(812) 중 원위 링크(예를 들어, 도 9a 내지 도 9c에 나타낸 바와 같은 링크(812C))는 엔드 이펙터(814)에 결합될 수 있다. 일부 실시예들에서, 팩토리 인터페이스 로봇(800)은 원위 링크에 결합된 2 개 이상의 엔드 이펙터들을 포함할 수 있다. 일부 실시예들에서, 하나 이상의 추가 링크들(예를 들어, 중간 링크(812B))이 근위 링크(812A)를 원위 링크(812C)에 연결할 수 있다. 일부 실시예들에서, 근위 링크는 고정될 수 있다(예를 들어, x축 또는 y축을 따라 이동할 수 없고, z축을 따라서만 수직으로 이동할 수 있음). 링크 및 조인트 구성은 링크(812)가 x축 및 y축을 따라 엔드 이펙터(814)를 횡단시키는 것을 가능하게 할 수 있다. 일부 실시예들에서, 링크들(812)은 SCARA 로봇과 유사할 수 있다. 수직 구동 메커니즘과 링크 및 조인트 구성의 조합은 팩토리 인터페이스 로봇(800)이 3차원으로 동작할 수 있게 한다. 예를 들어, 수직 구동 메커니즘은 먼저 링크(812) 및 엔드 이펙터(814)를 원하는 엘리베이션(예를 들어, 로드록의 수평면, 로드 포트의 수평면 등)까지 상승 또는 하강시킬 수 있고, 링크들(812)은 엔드 이펙터(814)를 상기 수평면 상의 원하는 포지션을 따라 포지셔닝할 수 있다.
[00101] 도 9a 내지 도 9c는 본 개시내용의 실시예들에 따른, 상이한 기판 캐리어들(922A 내지 922C)로부터 기판들을 회수하는 팩토리 인터페이스 로봇(800)의 평면도들이다. 팩토리 인터페이스 로봇(800)은 수직 타워(810)를 엔드 이펙터(814)에 연결하는 링크들(812A 내지 812C)을 포함한다. 수직 타워는 기판 캐리어들(922A 내지 922C)로부터 기판들을 회수하기 위해 사전결정된 엘리베이션에 링크들(812A 내지 812C) 및 엔드 이펙터(814)를 포지셔닝할 수 있다. 기판 캐리어(922A 내지 922C)는 기판 캐리어(122)와 유사하거나 동일할 수 있다. 도 9a는 가장 좌측의 기판 캐리어(922A)로부터 기판(102)을 회수하는 팩토리 인터페이스 로봇(800)을 도시한다. 특히, 근위 링크(812)는 고정되는 반면, 중간 링크(812B) 및 원위 링크(812C)는 엔드 이펙터(814)가 가장 좌측의 기판 캐리어(922A)로부터 기판(102)을 회수할 수 있게 하도록 포지셔닝된다. 도 9b는 중앙 기판 캐리어(922B)로부터 기판(102)을 회수하는 팩토리 인터페이스 로봇(800)을 도시한다. 특히, 근위 링크(812)는 고정되는 반면, 중간 링크(812B) 및 원위 링크(812C)는 엔드 이펙터(814)가 중앙 기판 캐리어(922B)로부터 기판(102)을 회수할 수 있게 하도록 포지셔닝된다. 도 9c는 가장 우측의 기판 캐리어(922C)로부터 기판(102)을 회수하는 팩토리 인터페이스 로봇(800)을 도시한다. 특히, 근위 링크(812)는 고정되는 반면, 중간 링크(812B) 및 원위 링크(812C)는 엔드 이펙터(814)가 가장 우측의 기판 캐리어(922C)로부터 기판(102)을 회수할 수 있게 하도록 포지셔닝된다. 따라서, 예시된 바와 같이, 수직 타워(810)에 의해 가능해진 z 방향 모션과 링크들(812A 내지 812C)에 의해 가능해진 수평방향 모션의 조합은 팩토리 인터페이스 내에 로드록들이 포함되는 것으로 인해 팩토리 인터페이스 로봇이 콤팩트한 환경에서 다수의 기판 캐리어들로부터 기판들(102)을 회수할 수 있게 한다.
[00102] 도 10a는 본 개시내용의 실시예들에 따른, 패스스루 포지션에 있는 팩토리 인터페이스 로봇(800)의 평면도이다. 수직 타워는 팩토리 인터페이스 로봇(800)이 기판을 다른 팩토리 인터페이스 로봇으로 전달할 수 있게 하도록 사전결정된 엘리베이션에 링크들(812A 내지 812C) 및 엔드 이펙터(814)를 포지셔닝할 수 있다. 도시된 바와 같이, 근위 링크(812)는 고정되는 반면, 중간 링크(812B) 및 원위 링크(812C)는 엔드 이펙터(814)가 기판(102)을 팩토리 인터페이스 로봇(800)으로부터 다른 팩토리 인터페이스 로봇으로 전달할 수 있게 하도록 포지셔닝된다. 따라서, 예시된 바와 같이, 수직 타워(810)에 의해 가능해진 z 방향 모션과 링크들(812A 내지 812C)에 의해 가능해진 수평방향 모션의 조합은 팩토리 인터페이스 로봇이 팩토리 인터페이스 내에서 패스스루 동작들을 수행할 수 있게 한다.
[00103] 도 10b는 본 개시내용의 실시예들에 따른, 로드록(1020B)으로부터 기판들을 회수하는 팩토리 인터페이스 로봇(800)의 평면도이다. 수직 타워는 팩토리 인터페이스 로봇(800)이 하나 이상의 기판들을 로드록(1020B)으로부터(또는 로드록(1020B) 내로) 회수(또는 포지셔닝)할 수 있게 하도록 사전결정된 엘리베이션에 링크들(812A 내지 812C) 및 엔드 이펙터(814)를 포지셔닝할 수 있다. 도시된 바와 같이, 근위 링크(812)는 고정되는 반면, 중간 링크(812B) 및 원위 링크(812C)는 엔드 이펙터(814)가 하나 이상의 기판들을 로드록(1020B)으로부터(또는 로드록(1020B 내로)) 회수(또는 포지셔닝)할 수 있게 하도록 포지셔닝된다. 따라서, 예시된 바와 같이, 수직 타워(810)에 의해 가능해진 z 방향 모션과 링크들(812A 내지 812C)에 의해 가능해진 수평방향 모션의 조합은 팩토리 인터페이스 로봇(800)이 팩토리 인터페이스 내에서 기판들을 로드록으로부터 회수하거나 로드록 내로 포지셔닝할 수 있게 한다.
[00104] 도 11은 본 개시내용의 실시예들에 따른, 기판들을 기판 캐리어로부터 팩토리 인터페이스로 수송하기 위한 방법이다. 블록(1110)에서, 로드 포트는 기판 캐리어를 수용한다. 일 예에서, 기판 캐리어는 FOUP이다. 일부 실시예들에서, 로드 포트는 로드 포트를 팩토리 인터페이스에 연결하도록 구성된 프레임(frame)을 포함한다. 프레임은 하나 이상의 기판들을 기판 캐리어와 팩토리 인터페이스 사이에서 수송할 수 있게 하는 수송 개구를 포함한다. 로드 포트는 또한 프레임에 결합된 액추에이터 및 액추에이터에 결합된 로드 포트 도어를 포함한다. 로드 포트 도어는 수송 개구를 밀봉하도록 구성될 수 있다. 액추에이터는 로드 포트 도어를 폐쇄 포지션으로부터 개방 포지션으로, 그리고 개방 포지션으로부터 폐쇄 포지션으로 포지셔닝할 수 있다.
[00105] 블록(1120)에서, 로드 포트 도어는 예를 들어 로드 포트 제어기에 의해 동작되는 도어 메커니즘을 통해 폐쇄 포지션으로부터 개방 포지션으로 포지셔닝된다. 블록(1130)에서, 팩토리 인터페이스 내에 배치된 팩토리 인터페이스 로봇은 기판 캐리어로부터 기판을 회수한다. 일부 실시예들에서, 로드 포트 도어를 폐쇄 포지션으로부터 개방 포지션으로 포지셔닝하기 전에, 로드 포트 제어기는 퍼지 키트와 맞물려 기판 캐리어를 불활성 가스로 퍼지할 수 있다. 일부 실시예들에서, 팩토리 인터페이스 로봇은 수직 구동 메커니즘와 맞물려 엔드 이펙터를 로드 포트와 연관된 수평면에 포지셔닝할 수 있다.
[00106] 도 12는 본 개시내용의 실시예들에 따른, 기판들을 제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 수송하기 위한 방법이다. 블록(1210)에서, 제1 팩토리 로봇은 기판 캐리어로부터 기판을 회수한다. 일 예에서, 기판 캐리어는 FOUP이다. 블록(1220)에서, 제1 팩토리 인터페이스 로봇은 기판들을 제2 팩토리 로봇으로 이송한다. 일 예에서, 제1 팩토리 로봇 및 제2 팩토리 로봇은 팩토리 인터페이스 내에 배치된다. 다른 예에서, 제1 팩토리 로봇은 제1 팩토리 인터페이스에 배치되고, 제2 팩토리 로봇은 제2 팩토리 인터페이스에 배치된다. 제1 팩토리 로봇 및/또는 제2 팩토리 로봇은 개개의 수직 구동 메커니즘과 맞물려, 엔드 이펙터의 엘리베이션을 기판들의 회수, 수송 또는 이송과 연관된 사전결정된 포지션으로 조정할 수 있다. 제1 팩토리 인터페이스 로봇은 패스스루 영역을 사용하여 기판을 제2 팩토리 인터페이스 로봇으로 이송하도록 구성될 수 있다. 제1 예에서, 패스스루 영역은 팩토리 인터페이스의 전방 측면과 로드록의 전방-대향 측면 사이에 배치될 수 있다. 제2 예에서, 패스스루 영역은 팩토리 인터페이스의 내부 볼륨 내에서 하나 이상의 로드록들 위에 배치된 비아 또는 개방 공간일 수 있다. 제3 예에서, 패스스루 영역은 로드록들의 한 쌍의 상측 내부 챔버들과 한 쌍의 하측 내부 챔버들 사이에 배치된 개방 공간 또는 비아일 수 있다(예를 들어, 로드록들이 각각 적층형 로드록인 경우). 제4 예에서, 패스스루 영역은 하나 이상의 로드록들 아래에 배치된 개방 공간 또는 비아일 수 있다. 제1 팩토리 인터페이스 로봇은 패스스루 영역을 통해 기판을 제2 팩토리 인터페이스 로봇으로 이송하도록 구성될 수 있다.
[00107] 블록(1230)에서, 제2 팩토리 인터페이스 로봇은 팩토리 인터페이스 내에 배치된 로드록 내부에 기판을 배치한다. 로드록은 제1 팩토리 인터페이스 로봇이 접근할 수 없다. 일 예에서, 제2 팩토리 로봇은 팩토리 인터페이스 내에 배치된 로드록 내부로부터 기판을 회수할 수 있다. 그런 다음, 제2 팩토리 로봇은 기판을 제1 팩토리 로봇으로 이송할 수 있다. 제2 팩토리 인터페이스 로봇은 패스스루 영역을 사용하여 기판을 제1 팩토리 인터페이스 로봇으로 이송하도록 구성될 수 있다. 그런 다음, 제1 팩토리 로봇은 기판을 기판 캐리어 내에 배치할 수 있다.
[00108] 이전의 설명은 본 개시내용의 몇몇 실시예들의 양호한 이해를 제공하기 위해 특정 시스템들, 컴포넌트들, 방법들 등의 예들과 같은 다수의 특정 세부사항들을 기재한다. 그러나, 본 개시내용의 적어도 일부 실시예들이 이러한 특정 세부사항들 없이도 실시될 수 있다는 것은 당업자에게 명백할 것이다. 다른 예시들에서, 잘-알려진 컴포넌트들 또는 방법들은 본 개시내용을 불필요하게 모호하게 하는 것을 피하기 위해, 상세히 설명되지 않거나 간단한 블록 다이어그램 포맷으로 제시된다. 따라서, 기재된 특정 세부사항들은 단지 예시일 뿐이다. 특정한 구현들은 이러한 예시적인 세부사항들과 다를 수 있으며, 여전히 본 개시내용의 범위 내에 있는 것으로 고려된다.
[00109] 본 명세서 전반에 걸쳐 "일 실시예" 또는 "실시예"에 대한 참조는, 실시예와 관련하여 설명된 특정한 피처, 구조, 또는 특성이 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 장소들에서의 어구 "일 실시예에서" 또는 "실시예에서"의 출현들 모두는 반드시 동일한 실시예를 지칭할 필요는 없다. 부가적으로, 용어 "또는"은 배타적인 "또는" 보다는 포괄적인 "또는"을 의미하도록 의도된다. 용어 "약" 또는 "대략"이 본 명세서에서 사용될 때, 이는 제시된 공칭 값이 ±10% 내에서 정확하다는 것을 의미하도록 의도된다.
[00110] 본 명세서의 방법들의 동작들이 특정한 순서로 도시되고 설명되지만, 각각의 방법의 동작들의 순서는, 특정한 동작들이 역순으로 수행될 수 있어서, 특정한 동작들이 다른 동작들과 적어도 부분적으로 동시에 수행될 수 있도록 변경될 수 있다. 다른 실시예에서, 별개의 동작들의 명령들 또는 하위-동작들은 간헐적이고 그리고/또는 교번적인 방식으로 이루어질 수 있다.
[00111] 위의 설명은 제한이 아니라 예시적인 것으로 의도된다는 것을 이해한다. 위의 설명을 판독 및 이해할 시에, 많은 다른 실시예들이 당업자들에게 명백할 것이다. 따라서, 본 개시내용의 범위는, 첨부된 청구항들이 권리를 가지는 등가물들의 전체 범위와 함께 그러한 청구범위들을 참조하여 결정되어야 한다.

Claims (20)

  1. 전자 디바이스 제조 시스템을 위한 팩토리 인터페이스(factory interface)로서,
    상기 팩토리 인터페이스의 내부 볼륨(interior volume) 내에 배치된 제1 로드록(load lock); 및
    상기 팩토리 인터페이스의 내부 볼륨 내에 배치된 제1 팩토리 인터페이스 로봇을 포함하며,
    상기 제1 팩토리 인터페이스 로봇은 제1 세트의 기판 캐리어(substrate carrier)들과 상기 제1 로드록 사이에서 기판들을 이송(transfer)하도록 구성되고,
    상기 팩토리 인터페이스 로봇은 수직 타워(vertical tower), 복수의 링크(link)들, 및 엔드 이펙터(end effector)를 포함하는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  2. 제1 항에 있어서,
    상기 수직 타워는 상기 복수의 링크들에 z축에서의 선형 이동을 제공하도록 구성된 수직 구동 메커니즘(vertical drive mechanism)을 포함하는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  3. 제2 항에 있어서,
    상기 복수의 링크들 중 근위(proximal) 링크는 상기 수직 구동 메커니즘에 결합되는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  4. 제1 항에 있어서,
    상기 복수의 링크들 중 원위(distal) 링크는 상기 엔드 이펙터에 결합되는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  5. 제1 항에 있어서,
    상기 팩토리 인터페이스의 내부 볼륨 내에 배치된 제2 로드록; 및
    제2 세트의 기판 캐리어들과 상기 제2 로드록 사이에서 기판들을 이송하도록 구성된 제2 팩토리 인터페이스 로봇을 더 포함하는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  6. 제5 항에 있어서,
    상기 제1 로드록은 상기 제2 로드록보다 상기 제1 팩토리 인터페이스 로봇에 더 가깝고, 상기 제2 로드록은 상기 제1 로드록보다 상기 제2 팩토리 인터페이스 로봇에 더 가까운,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  7. 제5 항에 있어서,
    상기 제1 세트의 기판 캐리어들을 수용하기 위한 제1 세트의 로드 포트(load port)들 ― 상기 제1 세트의 로드 포트들은 제1 이송 챔버 로봇(transfer chamber robot)에 의해 접근 가능하도록 포지셔닝됨 ―; 및
    상기 제2 세트의 기판 캐리어들을 수용하기 위한 제2 세트의 로드 포트들 ― 상기 제2 세트의 로드 포트들은 제2 이송 챔버 로봇에 의해 접근 가능하도록 포지셔닝됨 ― 을 더 포함하는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  8. 제7 항에 있어서,
    상기 제1 세트의 로드 포트들 및 상기 제2 세트의 로드 포트들의 각각의 로드 포트는,
    하나 이상의 기판들이 상기 기판 캐리어와 상기 팩토리 인터페이스 사이에서 수송될 수 있게 하는 수송 개구(transport opening);
    프레임(frame)에 결합된 액추에이터(actuator); 및
    상기 액추에이터에 결합되고 상기 수송 개구를 밀봉하도록 구성된 로드 포트 도어(load port door)를 포함하며,
    상기 로드 포트 도어는 제1 높이를 포함하고,
    상기 프레임은 제2 높이를 포함하고,
    상기 제2 높이는 상기 제1 높이보다 적어도 2배 크고, 상기 제1 높이의 2.5배보다 작은,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  9. 제7 항에 있어서,
    상기 제1 세트의 로드 포트들 중의 로드 포트 아래에 포지셔닝된 기판 보관 용기, 계측 장비, 서버(server), 또는 공기 조화 유닛(air conditioning unit) 중 적어도 하나를 더 포함하는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  10. 제1 항에 있어서,
    상기 팩토리 인터페이스의 내부 볼륨 내에서 상기 제1 로드록 위 또는 아래에 배치된 비아(via)를 더 포함하며, 상기 제1 팩토리 인터페이스 로봇은 상기 비아를 통해 기판을 제2 팩토리 인터페이스 로봇으로 이송하도록 구성되는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  11. 제5 항에 있어서,
    상기 팩토리 인터페이스는 최하부(bottom), 최상부(top) 및 복수의 측면들에 의해 정의된 상기 내부 볼륨을 더 포함하며, 상기 복수의 측면들은 상기 전자 디바이스 제조 시스템의 이송 챔버를 향하도록 구성된 후방 측면, 전방 측면, 우측면 및 좌측면을 포함하고, 상기 제1 팩토리 인터페이스 로봇은 상기 내부 볼륨 내에서 상기 좌측면에 근접하게 배치되고, 상기 제2 팩토리 인터페이스 로봇은 상기 내부 볼륨 내에서 상기 우측면에 근접하게 배치되고, 상기 제1 로드록 및 상기 제2 로드록은 상기 후방 측면에 인접하게 배치되고, 상기 제1 로드록이 상기 제2 로드록보다 상기 제1 팩토리 인터페이스 로봇에 더 가깝고 상기 제2 로드록이 상기 제1 로드록보다 상기 제2 팩토리 인터페이스 로봇에 더 가깝도록 상기 제1 팩토리 인터페이스 로봇과 상기 제2 팩토리 인터페이스 로봇 사이에 배치되는,
    전자 디바이스 제조 시스템을 위한 팩토리 인터페이스.
  12. 팩토리 인터페이스 로봇으로서,
    복수의 로봇 링크들이 z축으로 횡단할 수 있게 하도록 구성된 수직 타워;
    상기 수직 타워에 결합되고 x축 및 y축을 따라 엔드 이펙터를 이동시키도록 구성된 상기 복수의 링크들; 및
    상기 복수의 링크들에 결합되고 기판을 핸들링하도록 구성된 상기 엔드 이펙터를 포함하며,
    상기 팩토리 인터페이스 로봇 및 로드록은 팩토리 인터페이스의 내부 볼륨 내에 배치되는,
    팩토리 인터페이스 로봇.
  13. 제12 항에 있어서,
    상기 팩토리 인터페이스 로봇은 기판 캐리어와 상기 로드록 사이에서 기판들을 이송하도록 구성되는,
    팩토리 인터페이스 로봇.
  14. 제13 항에 있어서,
    상기 팩토리 인터페이스는 상기 기판 캐리어를 수용하기 위한 로드 포트를 포함하며, 상기 로드 포트는 상기 팩토리 인터페이스 로봇에 의해 접근 가능하도록 포지셔닝되는,
    팩토리 인터페이스 로봇.
  15. 제12 항에 있어서,
    상기 복수의 링크들에 상기 z축에서의 선형 이동을 제공하도록 구성된 수직 구동 메커니즘을 더 포함하는,
    팩토리 인터페이스 로봇.
  16. 제15 항에 있어서,
    상기 복수의 링크들 중 근위 링크는 상기 수직 구동 메커니즘에 결합되고,
    상기 근위 링크는 고정된 측방향 포지션에 있는,
    팩토리 인터페이스 로봇.
  17. 제12 항에 있어서,
    상기 복수의 링크들 중 원위 링크는 상기 엔드 이펙터에 결합되는,
    팩토리 인터페이스 로봇.
  18. 기판들을 제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 수송하기 위한 방법으로서,
    상기 제1 팩토리 인터페이스 로봇의 엔드 이펙터에 의해 기판 캐리어로부터 기판을 회수(retrieving)하는 단계;
    상기 제1 팩토리 인터페이스 로봇의 수직 구동 메커니즘에 의해 상기 엔드 이펙터의 수직 포지션을 조정하는 단계; 및
    상기 기판을 상기 제1 팩토리 인터페이스 로봇으로부터 상기 제2 팩토리 인터페이스 로봇으로 이송하는 단계를 포함하며,
    상기 제1 팩토리 인터페이스 로봇 및 상기 제2 팩토리 인터페이스 로봇은 팩토리 인터페이스 내에 배치되는,
    기판들을 제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 수송하기 위한 방법.
  19. 제18 항에 있어서,
    상기 제2 팩토리 인터페이스 로봇을 통해, 상기 팩토리 인터페이스 내에 배치된 로드록 내부에 상기 기판을 배치하는 단계를 더 포함하며,
    상기 제1 팩토리 인터페이스 로봇은 상기 로드록에 접근할 수 없는,
    기판들을 제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 수송하기 위한 방법.
  20. 제19 항에 있어서,
    상기 기판은 상기 팩토리 인터페이스의 내부 볼륨 내에서 상기 로드록 위 또는 아래에 배치된 비아를 통해 이송되는,
    기판들을 제1 팩토리 인터페이스 로봇으로부터 제2 팩토리 인터페이스 로봇으로 수송하기 위한 방법.
KR1020237039599A 2021-10-12 2022-10-11 통합된 로드록들과 함께 사용 가능한 팩토리 인터페이스 로봇들 KR20240074703A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/499,109 US20230113673A1 (en) 2021-10-12 2021-10-12 Factory interface robots usable with integrated load locks
US17/499,109 2021-10-12
PCT/US2022/046317 WO2023064301A1 (en) 2021-10-12 2022-10-11 Factory interface robots usable with integrated load locks

Publications (1)

Publication Number Publication Date
KR20240074703A true KR20240074703A (ko) 2024-05-28

Family

ID=85798082

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237039599A KR20240074703A (ko) 2021-10-12 2022-10-11 통합된 로드록들과 함께 사용 가능한 팩토리 인터페이스 로봇들

Country Status (5)

Country Link
US (1) US20230113673A1 (ko)
KR (1) KR20240074703A (ko)
CN (1) CN117321752A (ko)
TW (1) TW202323171A (ko)
WO (1) WO2023064301A1 (ko)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US7314808B2 (en) * 2004-12-23 2008-01-01 Applied Materials, Inc. Method for sequencing substrates
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
KR102392186B1 (ko) * 2011-03-11 2022-04-28 브룩스 오토메이션 인코퍼레이티드 기판 처리 툴
US9076830B2 (en) * 2011-11-03 2015-07-07 Applied Materials, Inc. Robot systems and apparatus adapted to transport dual substrates in electronic device manufacturing with wrist drive motors mounted to upper arm
DE202016104588U1 (de) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
KR20220092592A (ko) * 2019-11-01 2022-07-01 램 리써치 코포레이션 중력장 센서 (gravitational field sensor) 를 갖는 웨이퍼 핸들링 로봇
US11581203B2 (en) * 2020-09-02 2023-02-14 Applied Materials, Inc. Systems for integrating load locks into a factory interface footprint space
KR102563297B1 (ko) * 2021-04-12 2023-08-03 주식회사 유진테크 기판이송장치 및 이를 포함하는 기판 처리장치

Also Published As

Publication number Publication date
TW202323171A (zh) 2023-06-16
CN117321752A (zh) 2023-12-29
US20230113673A1 (en) 2023-04-13
WO2023064301A1 (en) 2023-04-20

Similar Documents

Publication Publication Date Title
US9472432B1 (en) Dedicated hot and cold end effectors for improved throughput
KR101624152B1 (ko) 로드락 디자인 및 로드락을 사용하기 위한 방법
KR101744372B1 (ko) 진공 처리 장치
US6238161B1 (en) Cost effective modular-linear wafer processing
US8313277B2 (en) Semiconductor manufacturing process modules
US20070209593A1 (en) Semiconductor wafer cooling device
US20230187239A1 (en) Systems and methods for integrating load locks into a factory interface footprint space
US9048271B2 (en) Modular semiconductor processing system
TW202147486A (zh) 製造系統中的氧化抑制氣體
KR20240074703A (ko) 통합된 로드록들과 함께 사용 가능한 팩토리 인터페이스 로봇들
US20220285193A1 (en) Shortened load port for factory interface
US20230054584A1 (en) Factory interface with redundancy
KR20150073915A (ko) 웨이퍼 위치 보정
US20030194299A1 (en) Processing system for semiconductor wafers
US11842913B2 (en) Seal mechanisms for load ports
US11456197B2 (en) Systems and methods for providing maintenance access to electronic device manufacturing tools