TW202005087A - 半導體結構及半導體製程方法 - Google Patents

半導體結構及半導體製程方法 Download PDF

Info

Publication number
TW202005087A
TW202005087A TW108108440A TW108108440A TW202005087A TW 202005087 A TW202005087 A TW 202005087A TW 108108440 A TW108108440 A TW 108108440A TW 108108440 A TW108108440 A TW 108108440A TW 202005087 A TW202005087 A TW 202005087A
Authority
TW
Taiwan
Prior art keywords
width
gate
fin
side wall
dummy gate
Prior art date
Application number
TW108108440A
Other languages
English (en)
Other versions
TWI739071B (zh
Inventor
林志翰
高魁佑
林士堯
張銘慶
陳昭成
章勳明
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202005087A publication Critical patent/TW202005087A/zh
Application granted granted Critical
Publication of TWI739071B publication Critical patent/TWI739071B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7856Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

本發明實施例描述關於形成例如用於鰭式場效電晶體的閘極結構的示例實施例。在一實施例中,一結構包括位於基板上的第一及第二裝置區,其分別包括多個第一及多個第二鰭式場效電晶體。這些第一鰭式場效電晶體的相鄰閘極結構之間的距離小於這些第二鰭式場效電晶體的相鄰閘極結構之間的距離。這些第一鰭式場效電晶體之至少其中一者的閘極結構在第一鰭片的頂表面之水平及其下方處分別具有第一及第二寬度。第一寬度大於第二寬度。這些第二鰭式場效電晶體之至少其中一者的閘極結構在第二鰭片的頂表面之水平及其下方處分別具有第三及第四寬度。第一寬度及第二寬度的差值大於第三寬度及第四寬度的差值。

Description

半導體結構及半導體製程方法
本發明實施例係關於一種半導體結構的製造方法,且特別是關於閘極結構的製造方法。
半導體積體電路(integrated circuit,IC)工業已經歷快速成長。積體電路材料及設計之技術的進步造就積體電路世代的產生,每一世代的電路比前一世代更小且更複雜。在積體電路的發展過程中,當幾何尺寸(亦即,製程所能製作的最小元件(或線))縮小時,功能密度(亦即,單位晶片面積的內連裝置數目)普遍增加。這種微縮化製程普遍提供了增加生產效率並降低相關成本的好處。
伴隨著裝置的微縮化,製造商開始使用新且不同的材料及/或材料組合以促進裝置的微縮化。獨自微縮化及與新且不同的材料組合的微縮化也帶來了較大幾何尺寸的前幾世代所未呈現的挑戰及/或機會。
本發明實施例提供一種半導體結構。此結構包括第一裝置區,包括位於基板上的多個第一鰭式場效電晶體、以及第二裝置區,包括位於上述基板上的多個第二鰭式場效電晶 體。上述第一鰭式場效電晶體的相鄰多個閘極結構之間的第一距離小於上述第二鰭式場效電晶體的相鄰多個閘極結構之間的第二距離。上述第一鰭式場效電晶體中至少一者之第一閘極結構在第一鰭片之頂表面的水平處具有第一寬度,上述第一閘極結構設置在上述第一鰭片之頂表面上,且在上述第一鰭片的頂表面下方的水平處具有第二寬度。上述第一寬度大於上述第二寬度。上述第二鰭式場效電晶體中至少一者之第二閘極結構在第二鰭片之頂表面的水平處具有第三寬度,上述第二閘極結構設置在上述第二鰭片之頂表面上,且在上述第二鰭片的頂表面下方的水平處具有第四寬度。上述第一寬度與上述第二寬度之間的差值大於上述第三寬度與上述第四寬度之間的差值。
本揭露實施例提供另一種半導體結構。此結構包括第一裝置區,位於基板上、以及第二裝置區,位於上述基板上。上述第一裝置區包括第一鰭片,位於上述基板上、第一閘極結構,位於上述第一鰭片上、以及第二閘極結構,位於上述第一鰭片上。上述第一閘極結構的兩側上具有第一側壁及第二側壁。在上述第一鰭片的頂表面的水平處自上述第一側壁至上述第二側壁為第一寬度,在上述第一鰭片的頂表面下方的水平處自上述第一側壁至上述第二側壁為第二寬度。上述第一寬度大於上述第二寬度。上述第一閘極結構及上述第二閘極結構為相鄰的閘極結構,且上述第二閘極結構具有面向上述第一側壁的第三側壁。上述第一側壁及上述第三側壁各具有遠離上述第一鰭片的相應上部。自上述第一側壁之上部至上述第三側壁之上部為第一尺寸。上述第二裝置區包括第二鰭片,位於上述基 板上、第三閘極結構,位於上述第二鰭片上、以及第四閘極結構,位於上述第二鰭片上。上述第三閘極結構的兩側上具有第四側壁及第五側壁。在上述第二鰭片的頂表面的水平處自上述第四側壁至上述第五側壁為第三寬度,在上述第二鰭片的頂表面下方的水平處自上述第四側壁至上述第五側壁為第四寬度。上述第一寬度與上述第二寬度之間的差值大於上述第三寬度與上述第四寬度之間的差值。上述第三閘極結構及上述第四閘極結構為相鄰的閘極結構,上述第四閘極結構具有面向上述第四側壁的第六側壁。上述第四側壁及上述第六側壁各具有遠離上述第二鰭片的相應上部。自上述第四側壁之上部至上述第六側壁之上部為第二尺寸。上述第一尺寸小於上述第二尺寸。
本發明實施例提供一種半導體製程方法。沉積虛置閘極層於多個鰭片之上,上述鰭片位於基板上。在第一區中的上述虛置閘極層中蝕刻出多個第一溝槽以及在第二區中的上述虛置閘極層中蝕刻出多個第二溝槽。上述第一溝槽的寬度小於上述第二溝槽的寬度。沿著上述第一溝槽及上述第二溝槽形成蝕刻阻障。上述蝕刻阻障沿著上述第二溝槽的底部區形成的厚度大於沿著上述第一溝槽的底部區形成的厚度。等向性地蝕刻位於上述第一溝槽及上述第二溝槽處的上述蝕刻阻障及虛置閘極層。在等向性蝕刻之後,在上述第一區中形成多個第一虛置閘極堆疊且在上述第二區中形成多個第二虛置閘極堆疊。
10‧‧‧小閘極節距區
12‧‧‧大閘極節距區
20‧‧‧半導體基板
22‧‧‧鰭片
22A‧‧‧鰭片水平
24‧‧‧隔離區
30‧‧‧界面介電層
32‧‧‧虛置閘極層
34‧‧‧遮罩
40‧‧‧第一溝槽
42‧‧‧第二溝槽
44‧‧‧閘極間隔物
50‧‧‧蝕刻阻障
60‧‧‧磊晶源極/汲極區
60A‧‧‧輪廓
70‧‧‧接觸蝕刻終止層
72‧‧‧第一層間介電質
80‧‧‧界面介電層
82‧‧‧閘極介電層
84‧‧‧選擇性共形層
86‧‧‧閘極導電填充材料
90‧‧‧第二層間介電層
D‧‧‧尺寸
T‧‧‧厚度
W‧‧‧寬度
以下將配合所附圖式詳述本揭露之實施例。應注 意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本揭露的特徵。
第1、2A-2E、3A-3D、4A-4D、5A-5D、6A-6D、7A-7D、及8A-8E圖係根據一些實施例,繪示出在用於形成鰭式場效電晶體(Fin Field Effect Transistors,FinFETs)的示例製程期間之相應階段下的中間結構的示意圖。
以下的揭示內容提供許多不同的實施例或範例,以展示本揭露的不同部件。以下將揭示本說明書各部件及其排列方式之特定範例,用以簡化本揭露敘述。當然,這些特定範例並非用於限定本揭露。例如,若是本說明書以下的發明內容敘述了將形成第一部件於第二部件之上或上方,即表示其包括了所形成之第一及第二部件是直接接觸的實施例,亦包括了尚可將附加的部件形成於上述第一及第二部件之間,則第一及第二部件為未直接接觸的實施例。此外,本揭露說明中的各式範例可能使用重複的參照符號及/或用字。這些重複符號或用字的目的在於簡化與清晰,並非用以限定各式實施例及/或所述配置之間的關係。
再者,為了方便描述圖示中一元件或部件與另一(些)元件或部件的關係,可使用空間相對用語,例如「在...之下」、「下方」、「下部」、「上方」、「上部」及諸如此類用語。除了圖示所繪示之方位外,空間相對用語亦涵蓋使用或操作中之裝置的不同方位。當裝置被轉向不同方位時(例如, 旋轉90度或者其他方位),則其中所使用的空間相對形容詞亦將依轉向後的方位來解釋。
總體而言,本發明實施例提供關於形成例如用於鰭式場效電晶體(Fin Field Effect Transistors,FinFETs)的閘極結構的示例實施例。更具體而言,此處描述的示例實施例係關於形成虛置閘極結構,上述虛置閘極結構隨後被去除,並且被小閘極節距(pitch)區及大閘極節距區中的置換閘極結構取代。在上述虛置閘極結構的形成中,虛置閘極層被蝕刻、處理、且進一步蝕刻。蝕刻虛置閘極層可能導致大閘極節距區及小閘極節距區之間的負載效應(loading effect),致使虛置閘極層中的經蝕刻溝槽在大閘極節距區中較在小閘極節距區中的被蝕刻的更深。上述處理形成例如由負載效應所導致的具有不同厚度的蝕刻阻障。接著非等向性地蝕刻上述蝕刻阻障及虛置閘極層來獲得虛置閘極層的不同輪廓(profile),以形成虛置閘極結構。上述置換閘極結構可具有對應的輪廓。上述輪廓可在形成於小閘極節距區中的裝置中導致較低漏電。亦可達成其他優點。
本發明實施例中描述的示例實施例係在形成用於鰭式場效電晶體的閘極結構(例如置換閘極結構)的背景下描述。此處描述示例方法及結構的一些變化。本領域具有通常知識者將容易理解在其他實施例的範圍內可做其他的修改。雖然討論的一些方法實施例以特定順序進行,各式其他方法實施例可以另一合乎邏輯的順序進行,且可包括少於或多於此處討論的步驟。在一些圖示中,其中所示的一些組件或部件的元件符 號可被省略,以避免與其他組件或部件混淆;此係為了便於描繪此些圖示。
第1圖、第2A-2E圖、第3A-3D圖至第7A-7D圖、及第8A-8E圖係根據一些實施例,繪示出在用於形成鰭式場效電晶體的示例製程期間之相應階段下的相應中間結構的示意圖。第1圖為具有鰭片22形成於其上的半導體基板20的透視圖。上述半導體基板20可以為或包括整塊(bulk)半導體基板、絕緣體上覆半導體(semiconductor-on-insulator,SOI)基板、或相似基板,其可為摻雜(例如,使用p-型或n-型摻質(dopant))或未摻雜的。在一些實施例中,上述半導體基板20之半導體材料可包括例如矽(silicon,Si)或鍺(germanium,Ge)的元素半導體;化合物(compound)半導體;合金半導體;或上述之組合。
在上述半導體基板20上形成上述鰭片22,例如藉由在半導體基板20中蝕刻溝槽,以形成鰭片22。可藉由任意合適方法在上述半導體基板20中圖案化上述鰭片22。舉例來說,可使用一或多道光微影製程圖案化上述鰭片22,包括雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程組合了光微影技術及自對準(self-aligned)製程,其允許創造出具有相較於例如使用單一、直接光微影製程所獲得的節距(pitch)更小的節距的圖案。舉例來說,在一些實施例中,形成犧牲層於基板之上,且使用光微影製程圖案化此犧牲層。使用自對準製程沿著圖案化的犧牲層形成間隔物。接著去除此犧牲層,且可以接著使用餘下的間隔物以圖案化上述鰭片22。
形成隔離區24,每個隔離區24位於對應的溝槽 中。上述隔離區24可包括或為絕緣材料,例如氧化物(例如氧化矽(silicon oxide))、氮化物(nitride)、相似材料、或上述之組合,且可以使用適當沉積製程來沉積上述絕緣材料。可以在沉積上述絕緣材料之後,凹蝕此絕緣材料以形成隔離區24。凹蝕上述絕緣材料使得鰭片22自相鄰隔離區24之間突出,其從而可界定至少一部份的鰭片22作為半導體基板20上的主動區。本領域具有通常知識者將容易理解上述製程僅為可如何形成鰭片22之一範例。在其他範例中,可藉由其他製程形成上述鰭片22,且可包括異質磊晶(heteroepitaxial)及/或同質磊晶(homoepitaxial)結構。
第2A圖至第2E圖繪示出界面介電層30、虛置閘極層32、及遮罩34的形成。第2E圖為形成在上述半導體基板20上的界面介電層30、虛置閘極層32、及遮罩34的透視圖。第2E圖顯示沿著鰭片22之縱向方向(例如,橫跨將形成在鰭片22中的源極/汲極區及通道區)的剖面X1。第2A圖及以下附圖中以”A”符號結尾的圖式繪示出在示例製程的相應階段下的小閘極節距區10中對應於剖面X1的剖面示意圖,且第2B圖及以下附圖中以”B”符號結尾的圖式繪示出在示例製程的相應階段下的大閘極節距區12中對應於剖面X1的剖面示意圖。第2E圖顯示出平行於剖面X1且位於相鄰鰭片22之間的剖面X2。第2C圖及以下附圖中以”C”符號結尾的圖式繪示出在示例製程的相應階段下的小閘極節距區10中對應於剖面X2的剖面示意圖,且第2D圖及以下附圖中以”D”符號結尾的圖式繪示出在示例製程的相應階段下的大閘極節距區12中對應於剖面X2的剖面示意 圖。第2C及2D圖更進一步繪示出頂部鰭片水平22A(在鰭片22之頂表面的水平處),以便於在不同剖面之間參考。第2E圖更進一步顯示出剖面Y1及Y2,其橫跨分別位於小閘極節距區10及大閘極節距區12的不同鰭片22的源極/汲極區(將隨後形成),且垂直於剖面X1及X2。第8E圖對應於在中介製程(intervening process)之後的剖面Y1及Y2。雖然在第2E圖中將上述小閘極節距區10及大閘極節距區12繪示為彼此相鄰,但小閘極節距區10及大閘極節距區12可以位於半導體基板20上的任何位置。
上述界面介電層30共形地形成在上述鰭片22上,並且在一些情況下,形成在隔離區24上。上述界面介電層30可包括或為氧化矽、氮化矽(silicon nitride)、相似材料、或上述之多層膜。可藉由氧化製程、共形沉積製程、相似製程、或上述之組合來形成上述界面介電層30。上述虛置閘極層32沉積在上述界面介電層30上。上述虛置閘極層32可包括或為矽(例如,非晶矽(amorphous silicon)或多晶矽(polysilicon))或其他材料。可藉由化學氣相沉積(chemical vapor deposition,CVD)、或相似技術來沉積上述虛置閘極層32。舉例來說,可使用減壓化學氣相沉積(reduced pressure CVD,RPCVD)來沉積上述虛置閘極層32,其可更進一步包括使用循環沉積-蝕刻製程。在一些範例中,上述虛置閘極層32沉積為非晶矽,並且在隨後的較高溫製程期間結晶成多晶矽。在沉積上述虛置閘極層32之後,平坦化此虛置閘極層32,例如藉由化學機械研磨(chemical mechanical planarization,CMP)。
形成遮罩層在上述虛置閘極層32之上。此遮罩層可包括或為氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、碳氮化矽(silicon carbon nitride)、相似材料、或上述之組合。可藉由化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD)、相似製程、或上述之組合來沉積上述遮罩層。可接著圖案化上述遮罩層成為遮罩34,舉例來說,使用光微影技術及一或多道蝕刻製程。第一寬度W1位於小閘極節距區10中的相鄰一對遮罩34之間,且第二寬度W2位於大閘極節距區12中的相鄰一對遮罩34之間。上述第一寬度W1小於上述第二寬度W2。在一些範例中,上述第二寬度W2較上述第一寬度W1大了約3奈米至約300奈米的範圍。在一些範例中,上述第一寬度W1範圍在約10奈米至約50奈米,且上述第二寬度W2範圍在約50奈米至約200奈米。因此,在一些範例中,上述小閘極節距區10可稱為圖案密集區(pattern dense region),而上述大閘極節距區12可稱為圖案稀疏區(pattern sparse region)。
第3A圖至第3D圖繪示出在遮罩34遮蓋上述虛置閘極層32的同時,對虛置閘極層32進行蝕刻製程。如第3A圖及第3C圖所示,在上述小閘極節距區10中的蝕刻在相鄰遮罩34之間定義的虛置閘極層32中形成多個第一溝槽40,且如第3B圖及第3D圖所示,在上述大閘極節距區12中的蝕刻在相鄰遮罩34之間定義的虛置閘極層32中形成多個第二溝槽42。在一些範例中,上述蝕刻製程為非等向性(anisotropic)蝕刻,例如反應離子蝕刻(reactive ion etch,RIE)或相似製程。在一些範例中,實施反 應離子蝕刻製程。上述反應離子蝕刻製程的蝕刻劑氣體可包括氯(chlorine,Cl2)、以氯為主的氣體、溴化氫(HBr)、相似氣體、或上述之組合。在上述反應離子蝕刻製程中之蝕刻劑氣體的流速範圍可在約5sccm至約1000sccm。上述反應離子蝕刻製程的壓力範圍可在約3mTorr至約300mTorr。上述反應離子蝕刻製程之電漿產生器的功率範圍可在約5W至約3000W,且上述電漿產生器之頻率範圍可在約10MHz至約20MHz,例如為13.56MHz。在上述反應離子蝕刻製程期間的基板偏壓範圍可在約50V至約2000V。
根據一些實施例,在第3A圖至第3D圖中的虛置閘極層32的蝕刻期間發生負載效應。此負載效應導致大閘極節距區12中的虛置閘極層32的蝕刻速率(例如,第3B圖及第3D圖)大於小閘極節距區10中的虛置閘極層32的蝕刻速率(例如,第3A圖及第3C圖)。因此,如第3C圖及第3D圖所示,相較於位於小閘極節距區10中的虛置閘極層32中的第一溝槽40,位於大閘極節距區12中的虛置閘極層32中的第二溝槽42被蝕刻至更大的深度。如第3D圖所示,可將第二溝槽42蝕刻至界面介電層30的深度,上述界面介電層30位於相應隔離區24上且位於相鄰鰭片22之間。如所繪示的,在位於上述第二溝槽42之底部處的上述虛置閘極層32上可能留下基腳凸部(footing projection)。如第3C圖所繪示的,可將第一溝槽40蝕刻至不延伸到界面介電層30的深度,上述界面介電層30位於相應隔離區24上且位於相鄰鰭片22之間。在上述第一溝槽40的相應底部處及在相應隔離區24上的界面介電層30上留下上述虛置閘極層32的第一厚度 T1。在一些範例中,上述第一溝槽40的深寬比(aspect ratio)範圍在約3至約6,且上述第二溝槽42的深寬比範圍在約0.5至約3。上述深寬比可被蝕刻的負載效應影響。
第4A圖至第4D圖繪示出沿著上述第一溝槽40及第二溝槽42的表面且沿著遮罩34的露出表面形成蝕刻阻障50。上述蝕刻阻障50包括沉積的膜層、上述虛置閘極層32及遮罩34的相應經處理部分、或上述之組合。上述蝕刻阻障50具有隨蝕刻阻障50的位置而變化的厚度。如以下將更詳細描述的,上述變化的厚度允許在後續蝕刻製程期間,在不同的持續時間之後,在虛置閘極層32上的不同位置處去除蝕刻阻障50。因此,上述虛置閘極層32可在蝕刻製程中露出,並因此在蝕刻製程期間的不同時間點開始在不同位置處被蝕刻。
可藉由電漿處理、濕式製程、沉積膜層、或上述之組合以形成上述蝕刻阻障50。在一些範例中,使用電漿處理形成上述蝕刻阻障50。可在與先前及/或後續蝕刻製程相同的的機台(例如,原位(in situ))或不同的機台中進行上述電漿處理。在一些範例中,上述電漿處理可在感應耦合電漿(inductively coupled plasma,ICP)機台中實施。上述電漿處理的反應氣體可包括氧(oxygen,O2)、氮(nitrogen,N2)、二氧化碳(carbon dioxide,CO2)、二氧化硫(sulfur dioxide,SO2)、相似氣體、或上述之組合。上述電漿處理的反應氣體的流速範圍可在約10sccm至約100sccm。可與上述反應氣體一起流送載送氣體(carrier gas),例如氬(argon,Ar)、或相似氣體。上述電漿處理的壓力範圍可在約1mTorr至約200mTorr。上述電漿處理的電 漿產生器的功率範圍可在約10W至約2000W,且上述電漿產生器之頻率範圍可在約5MHz至約20MHz,例如為13.56MHz。在上述電漿處理期間的基板偏壓範圍可在約0V至約500V。上述電漿處理可使用上述反應氣體之一物種(例如,當反應氣體包括氧氣(O2)時的氧(O))鈍化上述虛置閘極層32及遮罩34的露出表面,並且可以使上述物種自相應露出表面擴散至上述虛置閘極層32及遮罩34的一些深度中。
在一些範例中,使用濕式製程形成上述蝕刻阻障50。上述濕式製程包括將半導體基板20上的結構浸泡(immersing)至溶液中或在上述結構上噴灑(spraying)或沖洗(rinsing)溶液。上述溶液可包括與臭氧(ozone,O3)、二氧化碳(carbon dioxide,CO2)、相似材料、或上述之組合混和的去離子(deionized,DI)水。上述濕式製程的溫度範圍可在約4℃至約80℃。相似於上述電漿處理,上述濕式製程可使用上述溶液之一物種(例如,來自去離子水、臭氧、及/或二氧化碳的氧(O))鈍化上述虛置閘極層32及遮罩34的露出表面,並且可以使上述物種自相應露出表面擴散至上述虛置閘極層32及遮罩34的一些深度中。
在一些範例中,使用共形沉積製程形成上述蝕刻阻障50。在一些實施例中,上述共形沉積製程為原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(例如,電漿增強化學氣相沉積(plasma-enhanced CVD,PECVD))、或相似製程。在一些範例中,藉由共形沉積製程沉積為上述蝕刻阻障50的膜層為或包括氮化矽(silicon nitride,SiN)、氮氧化矽(silicon oxynitride,SiON)、碳化矽(silicon carbide,SiC)、碳氧化矽(silicon oxycarbide,SiOC)、氧化矽(silicon oxide,SiO2)、相似材料、或上述之組合。上述共形沉積沿著上述虛置閘極層32及遮罩34的露出表面形成上述膜層。
根據一些實施例,負載效應使得蝕刻阻障50沿著上述第二溝槽42的表面形成的厚度大於沿著上述第一溝槽40的表面形成的厚度。由於上述第二溝槽42的第二寬度W2相對於上述第一溝槽40的第一寬度W1較大,故相較於第一溝槽,用以形成蝕刻阻障50的流體(例如,氣體及/或液體)可更容易到達第二溝槽42的底表面。因此,與在上述第一溝槽40的底部區形成的較薄的蝕刻阻障50相比,更多的流體可到達上述第二溝槽42的底部區,以在那些區域中形成較厚的蝕刻阻障50。第4C圖及第4D圖繪示出在上述第一溝槽40之底部區處的蝕刻阻障50的第二厚度T2以及在上述第二溝槽42之底部區處的蝕刻阻障50的第三厚度T3。上述第三厚度T3大於第二厚度T2。在一些範例中,上述第二厚度T2範圍在約2Å至約40Å,且上述第三厚度T3範圍在約2Å至約40Å。上述第二厚度T2對第三厚度T3的比值可少於約0.6,例如範圍在約0.2至約0.6。
上述負載效應可進一步導致蝕刻阻障50的厚度在各個第一溝槽40及第二溝槽42內變化。相較於溝槽的下部區域,用以形成上述蝕刻阻障50的流體可更容易到達溝槽的上部區域,其可導致上述蝕刻阻障50隨著相應溝槽的深度增加而具有逐漸減小的厚度。如所繪示的,上述蝕刻阻障50在第一溝槽40的上部區域處具有第四厚度T4,且在第二溝槽42的上部區域 處具有第五厚度T5。上述第四厚度T4及第五厚度T5可大致上相等且其範圍各自可在約2Å至約40Å。上述第二厚度T2對第四厚度T4的比值可少於約0.6,例如範圍在約0.2至約0.6。上述第三厚度T3對五厚度T5的比值範圍可在約0.3至約1。
第5A圖至第5D圖繪示出至少在上述小閘極節距區10中蝕刻上述蝕刻阻障50及虛置閘極層32。蝕刻上述蝕刻阻障50及虛置閘極層32的蝕刻製程為等向性蝕刻。在上述蝕刻製程期間,在去除蝕刻阻障50的較厚部分之前,去除蝕刻阻障50的較薄部分(例如第一溝槽40的底部區處),以露出虛置閘極層32的相應部分。舉例來說,在去除在第二溝槽42的底部區中具有第三厚度T3的蝕刻阻障50之前,去除在第一溝槽40的底部區中具有第二厚度T2的蝕刻阻障50(且因此露出定義第一溝槽40的部分虛置閘極層32)。一旦去除上述蝕刻阻障50,上述虛置閘極層32將被等向性地(isotropically)蝕刻。上述蝕刻製程可以較蝕刻上述蝕刻阻障50更快的速率來蝕刻上述虛置閘極層32。由於在上述蝕刻製程期間的不同時間點去除上述蝕刻阻障50的不同部分,在此蝕刻製程期間等向性地蝕刻上述虛置閘極層32的不同部分。更具體而言,蝕刻上述第一溝槽40之底部區處(具有小的第二厚度T2的蝕刻阻障50形成之處)的虛置閘極層32,以在小閘極節距區10中虛置閘極層32的較低部分處形成漸縮(tapered)輪廓的虛置閘極層32。同樣地,可在上述第二溝槽42的底部區處蝕刻大閘極節距區12中的虛置閘極層32。在一些範例中,可蝕刻第二溝槽42之底部區處的虛置閘極層32,以在大閘極節距區12中的虛置閘極層32之下部部分處形成虛置閘極 層32的漸縮輪廓,或可以在大閘極節距區12中形成具有垂直側壁的虛置閘極層32。
如第5C圖所示,小閘極節距區10中的虛置閘極層32在頂部鰭片水平22A處具有第三寬度W3,且在虛置閘極層32的底表面處具有第四寬度W4。上述第四寬度W4小於第三寬度W3。如第5D圖所示,大閘極節距區12中的虛置閘極層32在頂部鰭片水平22A處具有第五寬度W5,且在虛置閘極層32的底表面處具有第六寬度W6。上述第六寬度W6等於或小於第五寬度W5。第一閘極輪廓差值為上述第四寬度W4與第三寬度W3的差值(例如,第三寬度W3減去第四寬度W4),且第二輪廓差值為上述第六寬度W6與第五寬度W5的差值(例如,第五寬度W5減去第六寬度W6)。上述第一閘極輪廓差值(例如,W3-W4)範圍可在約0.3奈米至約20奈米,且上述第二輪廓差值(例如,W5-W6)範圍可在約-5奈米至約20奈米。上述第一閘極輪廓差值(例如,W3-W4)大於第二輪廓差值(例如,W5-W6),例如大於或等於約0.5奈米的量,例如範圍在約0.5奈米至約5奈米。
在一些範例中,第5A圖至第5D圖的蝕刻製程為等向性蝕刻,例如反應離子蝕刻或相似製程。可在一些範例中實施反應離子蝕刻製程。上述反應離子蝕刻製程之蝕刻劑氣體可包括溴化氫(HBr)、氯(chlorine,Cl2)、碳氟化物(carbon fluoride(CxFy)、相似氣體、或上述之組合。在上述蝕刻製程期間,可流送其他氣體作為蝕刻抑制劑(inhibitor),例如氧氣(oxygen,O2)。在上述反應離子蝕刻製程中之蝕刻劑氣體的流速範圍可在約1sccm至約2000sccm。上述蝕刻抑制劑氣體可以範圍在約 1sccm至約300sccm的速率流送。上述蝕刻劑氣體對抑制劑氣體的流速比值範圍可在約3:1至約10:1。在上述反應離子蝕刻製程中包含抑制劑氣體,可延遲(retard)或抑制第一溝槽40及第二溝槽42之上部區域處的蝕刻。上述反應離子蝕刻製程的壓力範圍可在約2mTorr至約300mTorr。上述反應離子蝕刻製程之電漿產生器的功率範圍可在約10W至約2000W,且上述電漿產生器之頻率範圍可在約10MHz至約20MHz,例如為13.56MHz。在上述反應離子蝕刻製程期間的基板偏壓範圍可在約0V至約1000V。
在第5A圖至第5D圖的蝕刻製程之後,上述第一溝槽40及第二溝槽42延伸穿過上述虛置閘極層32以形成獨立虛置閘極層32,其部分地形成不同的虛置閘極堆疊。上述虛置閘極堆疊縱向垂直延伸至鰭片22的相應縱向方向。如所繪示的,各個虛置閘極堆疊包括界面介電層30、虛置閘極層32、及遮罩34。在一些範例中,在第5A圖至第5D圖的蝕刻製程之後,可留下部分蝕刻阻障50,且在這些範例中,可藉由對上述蝕刻阻障50之材料具有選擇性的蝕刻來去除上述蝕刻阻障50。
可循環且重複地進行蝕刻阻障的形成以及後續蝕刻製程的執行,以形成上述虛置閘極層32的不同輪廓。舉例來說,藉由重複形成蝕刻阻障及進行蝕刻製程,可增加小閘極節距區10及大閘極節距區12中的虛置閘極層32的漸縮(例如,可各自增加上述第一閘極輪廓差值(例如,W3-W4)及第二閘極輪廓差值(例如,W5-W6))。
第6A圖至第6D圖繪示出閘極間隔物44及磊晶源極 /汲極區60的形成。沿著虛置閘極堆疊的側壁且在鰭片22之上形成上述閘極間隔物44。舉例來說,藉由合適製程,可藉由共形地沉積用於閘極間隔物44的一或多個膜層且非等向性蝕刻此些一或多個膜層,以形成閘極間隔物44。上述用於閘極間隔物44的一或多個膜層可包括或為氮化矽、氮氧化矽、碳氮化矽、相似材料、上述之多層、或上述之組合。實施上述一或多個膜層的共形沉積,以形成上述閘極間隔物44,以使上述閘極間隔物44與虛置閘極堆疊(例如虛置閘極層32)的側壁(例如,漸縮輪廓)共形。
接著形成凹槽於位於虛置閘極堆疊兩側的鰭片22中。可藉由蝕刻製程進行凹蝕。上述蝕刻製程可為等向性或非等向性,或者,可以是對於半導體基板20的一個或多個晶面(crystalline plane)有選擇性的。因此,基於所實施的蝕刻製程,凹槽可具有各種剖面輪廓。
在凹槽中形成磊晶源極/汲極區60。上述磊晶源極/汲極區60可包括或為矽鍺(silicon germanium)、鍺、碳化矽、磷化矽、碳磷化矽、三五族化合物半導體、二六族化合物半導體、或相似材料。可藉由磊晶成長搭配適當沉積製程以在凹槽中形成磊晶源極/汲極區60。在一些範例中,例如如第6A圖及第6B圖所示,上述磊晶源極/汲極區60可形成為具有刻面(facet)(其可對應於半導體基板20的晶面),且可相對於相應的鰭片22,形成在提升的高度處。在一些範例中,在相鄰鰭片22中的磊晶源極/汲極區60可磊晶成長至合併(merge)在一起,而其他鰭片22可具有各自的磊晶源極/汲極區60,其例如由於相 鄰鰭片22的接近度(proximity),不與另一個磊晶源極/汲極區合併。在圖式中繪式的範例具有未合併的磊晶源極/汲極區60,但其他範例亦可包括合併的磊晶源極/汲極區。
在一些範例中,磊晶源極/汲極區60亦可被摻雜,例如在磊晶成長的過程中原位摻雜,及/或在磊晶成長之後藉由佈植摻質至磊晶源極/汲極區60中。因此,可藉由摻雜(例如,在磊晶成長的過程中藉由原位摻雜)及/或磊晶成長界定出源極/汲極區,其可進一步在界定的源極/汲極區中界定出主動區。
第6C圖及第6D圖中繪示出上述磊晶源極/汲極區60的輪廓60A。第6C圖繪示出小閘極節距區10中的虛置閘極堆疊的虛置閘極層32的底表面之水平處的第一尺寸D1。此第一尺寸D1係從虛置閘極層32的側壁(與相應閘極間隔物44的界面處)至磊晶源極/汲極區60的表面且大致沿著鰭片22的側壁。利用虛置閘極層32的漸縮輪廓,可增加第一尺寸D1,這可以導致置換閘極結構(隨後形成)及磊晶源極/汲極區60之間的電容效應的降低。
第7A圖至第7D圖繪示出接觸蝕刻終止層(contact etch stop layer,CESL)70及第一層間介電質(interlayer dielectric,ILD)72的形成。上述接觸蝕刻終止層70共形地沉積在在磊晶源極/汲極區60的表面上、閘極間隔物44的側壁及頂表面上、遮罩34的頂表面上、及隔離區24的頂表面上。一般而言,蝕刻終止層(ESL)可藉由與鄰近的膜層或組件具有不同蝕刻選擇性來提供一種機制(mechanism),以在形成例如接觸件(contact)或通孔(via)時停止蝕刻製程。上述接觸蝕刻終止層70 可包括或為氮化矽、碳氮化矽、氮化碳、相似材料、或上述之組合,且可藉由任意適當共形沉積製程沉積。
上述第一層間介電質72形成在接觸蝕刻終止層70之上。上述第一層間介電質72可包括或為二氧化矽(silicon dioxide)、低介電常數(low-K)介電材料(例如,介電常數低於二氧化矽的材料)、氮氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)、摻雜氟的矽酸鹽玻璃(fluorinated silicate glass,FSG)、有機矽酸鹽玻璃(organosilicate glasses,OSG)、SiOxCy、碳矽材料、上述之化合物(compound)、上述之複合物(composite)、相似材料、或上述之組合。可藉由任意適當沉積技術來沉積上述第一層間介電質72。
第8A圖至第8E圖繪示出使用置換閘極結構置換虛置閘極堆疊的置換。將上述第一層間介電質72及接觸蝕刻終止層70形成為具有與虛置閘極層32的頂表面共平面的頂表面,例如藉由平坦化製程,例如化學機械研磨。上述化學機械研磨亦可去除位於虛置閘極層32上的遮罩34(且在一些例子中為閘極間隔物44的上部)。因此,虛置閘極層32透過第一層間介電質72及接觸蝕刻終止層70露出其頂表面。隨著虛置閘極層32透過第一層間介電質72及接觸蝕刻終止層70露出其頂表面,藉由例如一或多道適當的蝕刻製程去除上述虛置閘極層32。在閘極間隔物44之間的虛置閘極堆疊被去除之處形成凹槽,且鰭片22的 通道區透過上述凹槽露出。
在虛置閘極堆疊被去除所形成的凹槽中形成置換閘極結構。如所繪示的,上述置換閘極結構各包括界面介電層80、閘極介電層82、一或多個選擇性共形層84、以及閘極導電填充材料86。可藉由任意適當沉積技術來沉積上述界面介電層80、閘極介電層82、一或多個選擇性共形層84、以及閘極導電填充材料86。沿著通道區在鰭片22的側壁及頂表面上形成上述界面介電層80。舉例來說,如果沒有去除界面介電層30,上述界面介電層80可以是氧化物(例如,氧化矽)、氮化物(例如,氮化矽)、及/或其他介電層。
可在虛置閘極堆疊被去除所形成的凹槽中(例如,在隔離區24及界面介電層80的頂表面上、以及閘極間隔物44的側壁上)及在第一層間介電質72、接觸蝕刻終止層70、及閘極間隔物44的頂表面上共形地沉積上述閘極介電層82。上述閘極介電層82可以為或包括氧化矽、氮化矽、高介電常數介電材料、上述之多層膜、或其他介電材料。高介電常數介電材料可包括鉿(hafnium,Hf)、鋁(aluminum,Al)、鋯(zirconium,Zr)、鑭(lanthanum,La)、鎂(magnesium,Mg)、鋇(barium,Ba)、鈦(titanium,Ti)、鉛(lead,Pb)、或上述之組合的金屬氧化物或金屬矽酸鹽(metal silicate)。
接著,可在閘極介電層82上共形地(且依序地,如果多於一個)沉積上述一或多個選擇性共形層84。上述一或多個選擇性共形層84可包括一或多個阻障及/或封蓋層以及一或多個功函數調整層。上述一或多個阻障及/或封蓋層可以包括 鉭(tantalum)及/或鈦(titanium)的氮化物、氮矽化物、氮碳化物、及/或氮鋁化物;鎢(tungsten)的氮化物、氮碳化物、及/或碳化物;相似材料;或上述之組合。上述一或多個功函數調整層可包括或為鈦及/或鉭的氮化物、矽氮化物、碳氮化物、鋁氮化物、鋁氧化物、及/或鋁碳化物;鎢(tungsten)的氮化物、氮碳化物、及/或碳化物;鈷(cobalt);鉑(platinum);相似材料;或上述之組合。
在上述一或多個選擇性共形層84(如果有實施)、及/或上述閘極介電層82之上形成上述閘極導電填充材料86。上述閘極導電填充材料86可填充虛置閘極堆疊被去除所形成的凹槽的剩餘部分。上述閘極導電填充材料86可為或包括含金屬材料,例如鎢、鈷、鋁、釕(ruthenium)、銅(copper)、上述之多層膜、上述之組合、或相似材料。平坦化製程(例如,化學機械研磨)可去除過量的閘極導電填充材料86、一或多個選擇性共形層84、及閘極介電層82。由此可形成如第8A圖至第8D圖所繪示的包括閘極導電填充材料86、一或多個選擇性共形層84、閘極介電層82、及界面介電層80的置換閘極結構。
在上述虛置閘極堆疊的去除期間,上述閘極間隔物44不會被顯著地蝕刻。因此,上述閘極介電層82的共形沉積使得閘極介電層82具有共形於閘極間隔物44之側壁的側壁。各個隨後沉積的膜層同樣地具有共形的側壁。在至少小閘極節距區10中,此共形沉積使得側壁具有如第5A圖至第5D圖中虛置閘極層32中所形成的漸縮輪廓。
在上述第一層間介電質72、接觸蝕刻終止層70、 閘極間隔物44、及置換閘極結構之上形成第二層間介電層90。上述第二層間介電層90可包括或為二氧化矽(silicon dioxide)、低介電常數(low-K)介電材料、氮氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)、摻雜氟的矽酸鹽玻璃(fluorinated silicate glass,FSG)、有機矽酸鹽玻璃(organosilicate glasses,OSG)、SiOxCy、碳矽材料、上述之化合物(compound)、上述之複合物(composite)、相似材料、或上述之組合。可藉由任意適當沉積技術以沉積上述上述第二層間介電質90。
第8E圖繪示出在第8A圖至第8D圖中顯示的剖面Y1及Y2的剖面且對應至第2E圖之剖面Y1及Y2。第8E圖的剖面是說明性的,並且在小閘極節距區10中形成的裝置的類似剖面可不同或相同於在大閘極節距區12中形成的裝置的類似剖面。
雖然並未繪示,但可形成導電部件。舉例來說,形成相應開口穿過上述第二層間介電質90、第一層間介電質72、及接觸蝕刻終止層70,以露出至少一部份的相應磊晶源極/汲極區60。舉例來說,可使用光微影技術及一或多道蝕刻製程搭配上述開口,以圖案化上述第二層間介電質90、第一層間介電質72、及接觸蝕刻終止層70。
在形成源極/汲極接觸開口之後,可在開口中形成導電部件至磊晶源極/汲極區60。上述導電部件可包括形成在磊晶源極/汲極區60的矽化物區、黏著及/或阻障層、以及位於 上述黏著及/或阻障層上的導電填充材料。可藉由將磊晶源極/汲極區60的上部與金屬層(例如,鈦、鉭、或相似材料)熱反應,以在磊晶源極/汲極區60上形成上述矽化物區。可共形地沉積上述黏著/及或阻障層於上述開口中。上述黏著/及或阻障層可為或包括氮化鈦、氧化鈦、氮化鉭、氧化鉭、任意合適過渡金屬氮化物或氧化物、相似材料、或上述之任意組合,且可藉由任意合適沉積技術沉積。上述導電填充材料可為或包括鈷、鎢、銅、釕、鋁、金、銀、上述之合金、相似材料、或上述之組合,且可藉由任意合適沉積技術沉積。在沉積上述導電填充材料之後,可藉由例如化學機械研磨的平坦化製程去除過量的導電填充材料以及黏著及/或阻障層。上述導電部件可稱為接觸件、插塞(plug)等。
一些實施例可達成一些優點。一些實施例可在圖案化虛置閘極層時消除、且甚至反轉負載效應。在一些實施方式中,形成在小閘極節距區中的鰭式場效電晶體為低壓裝置,而形成在大閘極節距區中的鰭式場效電晶體為高壓裝置。藉由如上所述在低壓裝置中具有漸縮輪廓的置換閘極結構,由於在置換閘極結構的下部處的閘極及源極/汲極區之間的距離增加,可降低閘極至源極電容。這可以減少發生在鰭式場效電晶體中的不利影響,例如降低漏電流。實施例可實施在任意技術節點,例如16奈米及更小。
一實施例為一種半導體結構。此結構包括第一裝置區,包括位於基板上的多個第一鰭式場效電晶體、以及第二裝置區,包括位於上述基板上的多個第二鰭式場效電晶體。上 述第一鰭式場效電晶體的相鄰多個閘極結構之間的第一距離小於上述第二鰭式場效電晶體的相鄰多個閘極結構之間的第二距離。上述第一鰭式場效電晶體中至少一者之第一閘極結構在第一鰭片之頂表面的水平處具有第一寬度,上述第一閘極結構設置在上述第一鰭片之頂表面上,且在上述第一鰭片的頂表面的水平下方具有第二寬度。上述第一寬度大於上述第二寬度。上述第二鰭式場效電晶體中至少一者之第二閘極結構在第二鰭片之頂表面的水平處具有第三寬度,上述第二閘極結構設置在上述第二鰭片之頂表面上,且在上述第二鰭片的頂表面下方的水平處具有第四寬度。上述第一寬度及上述第二寬度之間的差值大於上述第三寬度與上述第四寬度之間的差值。在一些實施例中,上述第三寬度相等於上述第四寬度。在一些實施例中,上述第三寬度大於上述第四寬度。在一些實施例中,上述第一寬度及上述第二寬度之間的差值較上述第三寬度與上述第四寬度之間的差值大至少0.5奈米。
另一實施例為一種半導體結構。此結構包括第一裝置區,位於基板上、以及第二裝置區,位於上述基板上。上述第一裝置區包括第一鰭片,位於上述基板上、第一閘極結構,位於上述第一鰭片上、以及第二閘極結構,位於上述第一鰭片上。上述第一閘極結構的兩側上具有第一側壁及第二側壁。在上述第一鰭片的頂表面的水平處自上述第一側壁至上述第二側壁為第一寬度,在上述第一鰭片的頂表面下方的水平處自上述第一側壁至上述第二側壁為第二寬度。上述第一寬度大於上述第二寬度。上述第一閘極結構及上述第二閘極結構為相 鄰的閘極結構,且上述第二閘極結構具有面向上述第一側壁的第三側壁。上述第一側壁及上述第三側壁各具有遠離上述第一鰭片的相應上部。自上述第一側壁之上部至上述第三側壁之上部為第一尺寸。上述第二裝置區包括第二鰭片,位於上述基板上、第三閘極結構,位於上述第二鰭片上、以及第四閘極結構,位於上述第二鰭片上。上述第三閘極結構的兩側上具有第四側壁及第五側壁。在上述第二鰭片的頂表面的水平處自上述第四側壁至上述第五側壁為第三寬度,在上述第二鰭片的頂表面下方的水平處自上述第四側壁至上述第五側壁為第四寬度。上述第一寬度與上述第二寬度之間的差值大於上述第三寬度與上述第四寬度之間的差值。上述第三閘極結構及上述第四閘極結構為相鄰的閘極結構,上述第四閘極結構具有面向上述第四側壁的第六側壁。上述第四側壁及上述第六側壁各具有遠離上述第二鰭片的相應上部。自上述第四側壁之上部至上述第六側壁之上部為第二尺寸。上述第一尺寸小於上述第二尺寸。在一些實施例中,上述第三寬度相等於上述第四寬度。在一些實施例中,上述第三寬度大於上述第四寬度。在一些實施例中,上述第一寬度與上述第二寬度之間的差值較上述第三寬度與上述第四寬度之間的差值大至少0.5奈米。在一些實施例中,各個上述第一閘極結構、上述第二閘極結構、上述第三閘極結構、及上述第四閘極結構包括共形閘極介電層、以及導電填充材料,位於上述共形閘極介電層之上,其中上述第一閘極結構之上述共形閘極介電層的各別側壁為上述第一側壁及上述第二側壁。
又一實施例為一種半導體製程方法。沉積虛置閘極層於多個鰭片之上,上述鰭片位於基板上。在第一區中的上述虛置閘極層中蝕刻出多個第一溝槽以及在第二區中的上述虛置閘極層中蝕刻出多個第二溝槽。上述第一溝槽的寬度小於上述第二溝槽的寬度。沿著上述第一溝槽及上述第二溝槽的表面形成蝕刻阻障。上述蝕刻阻障沿著上述第二溝槽的底部區形成的厚度大於沿著上述第一溝槽的底部區形成的厚度。等向性地蝕刻位於上述第一溝槽及上述第二溝槽處的上述蝕刻阻障及虛置閘極層。在等向性蝕刻之後,在上述第一區中形成多個第一虛置閘極堆疊且在上述第二區中形成多個第二虛置閘極堆疊。在一些實施例中,形成上述蝕刻阻障的步驟包括使用電漿製程,上述電漿製程之一物種至少鈍化上述第一溝槽及第二溝槽的表面,以形成上述蝕刻阻障。在一些實施例中,形成上述蝕刻阻障的步驟包括使用濕式製程,上述濕式製程之一物種至少鈍化上述第一溝槽及第二溝槽的表面,以形成上述蝕刻阻障。在一些實施例中,形成上述蝕刻阻障的步驟包括使用共形沉積製程以沉積上述蝕刻阻障。在一些實施例中,在上述等向性蝕刻之前,上述蝕刻阻障的各別厚度自上述第一溝槽的底部區至上述第一溝槽的頂部區增加。在一些實施例中,等向性蝕刻上述蝕刻阻障及虛置閘極層包括在上述第一溝槽的底部區去除比在第二溝槽的底部區更多的上述虛置閘極層。在一些實施例中,在上述等向性蝕刻之後,第一虛置閘極堆疊各具有第一寬度且在低於上述第一寬度的位置處具有第二寬度,上述第一寬度大於上述第二寬度、第二虛置閘極堆疊各具有第三寬度 且在低於上述第三寬度的位置處具有第四寬度、以及上述第一寬度與上述第二寬度之間的差值大於上述第三寬度與上述第四寬度之間的差值。在一些實施例中,在上述等向性蝕刻之後,上述第三寬度大於上述第四寬度。在一些實施例中,在上述等向性蝕刻之後,上述第一寬度與上述第二寬度之間的差值較上述第三寬度與上述第四寬度之間的差值大至少0.5奈米。在一些實施例中,使用多個各別置換閘極結構取代上述第一虛置閘極堆疊及上述第二虛置閘極堆疊。在一些實施例中,沿著上述第一虛置閘極堆疊及上述第二虛置閘極堆疊之各別側壁形成多個閘極間隔物、在上述第一虛置閘極堆疊、上述第二虛置閘極堆疊、及上述閘極間隔物上沉積介電層、在沉積上述介電層之後,去除上述第一虛置閘極堆疊及上述第二虛置閘極堆疊、在上述第一虛置閘極堆疊及上述第二虛置閘極堆疊被去除之處沉積閘極介電層、以及在上述閘極介電層之上沉積導電填充材料。
以上概略說明了本揭露數個實施例的特徵,使所屬技術領域內具有通常知識者對於本揭露可更為容易理解。任何所屬技術領域內具有通常知識者應瞭解到本說明書可輕易作為其他結構或製程的變更或設計基礎,以進行相同於本揭露實施例的目的及/或獲得相同的優點。任何所屬技術領域內具有通常知識者亦可理解與上述等同的結構或製程並未脫離本揭露之精神及保護範圍內,且可在不脫離本揭露之精神及範圍內,當可作更動、替代與潤飾。
20‧‧‧半導體基板
24‧‧‧隔離區
70‧‧‧接觸蝕刻終止層
72‧‧‧第一層間介電質
80‧‧‧界面介電層
82‧‧‧閘極介電層
84‧‧‧選擇性共形層
86‧‧‧閘極導電填充材料
90‧‧‧第二層間介電層

Claims (20)

  1. 一種半導體結構,包括:一第一裝置區,包括位於一基板上的多個第一鰭式場效電晶體(fin Field Effect Transistors,FinFETs);以及一第二裝置區,包括位於該基板上的多個第二鰭式場效電晶體,其中:該些第一鰭式場效電晶體的相鄰多個閘極結構之間的一第一距離小於該些第二鰭式場效電晶體的相鄰多個閘極結構之間的一第二距離;該些第一鰭式場效電晶體中至少一者之一第一閘極結構在一第一鰭片之頂表面的水平(level)處具有一第一寬度,該第一閘極結構設置在該第一鰭片之頂表面上,且在該第一鰭片的頂表面下方的水平處具有一第二寬度,該第一寬度大於該第二寬度;該些第二鰭式場效電晶體中至少一者之一第二閘極結構在一第二鰭片之頂表面的水平處具有一第三寬度,該第二閘極結構設置在該第二鰭片之頂表面上,且在該第二鰭片的頂表面下方的水平處具有一第四寬度;以及該第一寬度與該第二寬度之間的差值大於該第三寬度與該第四寬度之間的差值。
  2. 如申請專利範圍第1項所述之半導體結構,其中該第三寬度相等於該第四寬度。
  3. 如申請專利範圍第1項所述之半導體結構,其中該第三寬度大於該第四寬度。
  4. 如申請專利範圍第1項所述之半導體結構,其中該第一寬度與該第二寬度之間的差值較該第三寬度與該第四寬度之間的差值大至少0.5奈米。
  5. 一種半導體結構,包括:一第一裝置區,位於一基板上,該第一裝置區包括:一第一鰭片,位於該基板上;一第一閘極結構,位於該第一鰭片上,該第一閘極結構的兩側上具有一第一側壁及一第二側壁,在該第一鰭片的頂表面的水平處自該第一側壁至該第二側壁為一第一寬度,在該第一鰭片的頂表面下方的水平處自該第一側壁至該第二側壁為一第二寬度,該第一寬度大於該第二寬度;一第二閘極結構,位於該第一鰭片上,該第一閘極結構及該第二閘極結構為相鄰的閘極結構,該第二閘極結構具有面向該第一側壁的一第三側壁,該第一側壁及該第三側壁各具有遠離該第一鰭片的相應上部,自該第一側壁之上部至該第三側壁之上部為一第一尺寸(dimension);以及一第二裝置區,位於該基板上,該第二裝置區包括:一第二鰭片,位於該基板上;一第三閘極結構,位於該第二鰭片上,該第三閘極結構的兩側上具有一第四側壁及一第五側壁,在該第二鰭片的頂表面的水平處自該第四側壁至該第五側壁為一第三寬度,在該第二鰭片的頂表面下方的水平處自該第四側壁至該第五側壁為一第四寬度,該第一寬度與該第二寬度之間的差值大於該第三寬度與該第四寬度之間的差值;以及 一第四閘極結構,位於該第二鰭片上,該第三閘極結構及該第四閘極結構為相鄰的閘極結構,該第四閘極結構具有面向該第四側壁的一第六側壁,該第四側壁及該第六側壁各具有遠離該第二鰭片的相應上部,自該第四側壁之上部至該第六側壁之上部為一第二尺寸,該第一尺寸小於該第二尺寸。
  6. 如申請專利範圍第5項所述之半導體結構,其中該第三寬度相等於該第四寬度。
  7. 如申請專利範圍第5項所述之半導體結構,其中該第三寬度大於該第四寬度。
  8. 如申請專利範圍第5項所述之半導體結構,其中該第一寬度與該第二寬度之間的差值較該第三寬度與該第四寬度之間的差值大至少0.5奈米。
  9. 如申請專利範圍第5項所述之半導體結構,其中各個該第一閘極結構、該第二閘極結構、該第三閘極結構、及該第四閘極結構包括:一共形閘極介電層;以及一導電填充材料,位於該共形閘極介電層之上;其中該第一閘極結構之該共形閘極介電層的各別側壁為該第一側壁及該第二側壁。
  10. 一種半導體製程方法,該方法包括:沉積一虛置閘極層於多個鰭片之上,該些鰭片位於一基板上;在一第一區中的該虛置閘極層中蝕刻出多個第一溝槽以及 在一第二區中的該虛置閘極層中蝕刻出多個第二溝槽,該些第一溝槽的寬度小於該些第二溝槽的寬度;沿著該些第一溝槽及該些第二溝槽形成一蝕刻阻障(etch barrier),該蝕刻阻障沿著該些第二溝槽的底部區形成的厚度大於沿著該些第一溝槽的底部區形成的厚度;以及等向性地蝕刻位於該些第一溝槽及該些第二溝槽的該蝕刻阻障及該虛置閘極層,其中在等向性蝕刻之後,在該第一區中形成多個第一虛置閘極堆疊且在該第二區中形成多個第二虛置閘極堆疊。
  11. 如申請專利範圍第10項所述之半導體製程方法,其中形成該蝕刻阻障的步驟包括使用一電漿製程,該電漿製程之一物種(species)至少鈍化該些第一溝槽及該些第二溝槽的表面,以形成該蝕刻阻障。
  12. 如申請專利範圍第10項所述之半導體製程方法,其中形成該蝕刻阻障的步驟包括使用一濕式製程,該濕式製程之一物種至少鈍化該些第一溝槽及該些第二溝槽的表面,以形成該蝕刻阻障。
  13. 如申請專利範圍第10項所述之半導體製程方法,其中形成該蝕刻阻障的步驟包括使用一共形沉積製程,以沉積該蝕刻阻障。
  14. 如申請專利範圍第10項所述之半導體製程方法,其中在該等向性蝕刻之前,該蝕刻阻障的各別厚度自該些第一溝槽的底部區至該些第一溝槽的頂部區增加。
  15. 如申請專利範圍第10項所述之半導體製程方法,其中等向 性蝕刻該蝕刻阻障及該虛置閘極層的步驟包括在該些第一溝槽的底部區去除比在該些第二溝槽的底部區更多的該虛置閘極層。
  16. 如申請專利範圍第10項所述之半導體製程方法,其中在該等向性蝕刻之後:該些第一虛置閘極堆疊各具有一第一寬度且在低於該第一寬度的位置處具有一第二寬度,該第一寬度大於該第二寬度;該些第二虛置閘極堆疊各具有一第三寬度且在低於該第三寬度的位置處具有一第四寬度;以及該第一寬度與該第二寬度之間的差值大於該第三寬度與該第四寬度之間的差值。
  17. 如申請專利範圍第16項所述之半導體製程方法,其中在該等向性蝕刻之後,該第三寬度大於該第四寬度。
  18. 如申請專利範圍第16項所述之半導體製程方法,其中在該等向性蝕刻之後,該第一寬度與該第二寬度之間的差值較該第三寬度與該第四寬度之間的差值大至少0.5奈米。
  19. 如申請專利範圍第10項所述之半導體製程方法,更包括使用多個各別置換閘極結構取代該些第一虛置閘極堆疊及該些第二虛置閘極堆疊。
  20. 如申請專利範圍第10項所述之半導體製程方法,更包括:沿著該些第一虛置閘極堆疊及該些第二虛置閘極堆疊之各別側壁形成多個閘極間隔物;在該些第一虛置閘極堆疊、該些第二虛置閘極堆疊、及該 些閘極間隔物上沉積一介電層;在沉積該介電層之後,去除該些第一虛置閘極堆疊及該些第二虛置閘極堆疊;在該些第一虛置閘極堆疊及該些第二虛置閘極堆疊被去除之處沉積一閘極介電層;以及在該閘極介電層之上沉積一導電填充材料。
TW108108440A 2018-05-29 2019-03-13 半導體結構及半導體製程方法 TWI739071B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/991,184 US10515955B1 (en) 2018-05-29 2018-05-29 Methods of manufacturing transistor gate structures by local thinning of dummy gate stacks using an etch barrier
US15/991,184 2018-05-29

Publications (2)

Publication Number Publication Date
TW202005087A true TW202005087A (zh) 2020-01-16
TWI739071B TWI739071B (zh) 2021-09-11

Family

ID=68420939

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108108440A TWI739071B (zh) 2018-05-29 2019-03-13 半導體結構及半導體製程方法

Country Status (5)

Country Link
US (3) US10515955B1 (zh)
KR (1) KR102038569B1 (zh)
CN (1) CN110544691B (zh)
DE (1) DE102018113799B4 (zh)
TW (1) TWI739071B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10811320B2 (en) 2017-09-29 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Footing removal in cut-metal process
CN110416296B (zh) * 2018-04-26 2021-03-26 苏州能讯高能半导体有限公司 半导体器件、半导体芯片及半导体器件制作方法
US10840153B2 (en) 2018-06-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Notched gate structure fabrication
US11482421B2 (en) * 2019-10-29 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device by a replacement gate process
US11302581B2 (en) 2020-05-05 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate profile control through sidewall protection during etching
CN116034456A (zh) 2020-09-03 2023-04-28 应用材料公司 选择性各向异性金属蚀刻
CN113725220A (zh) * 2021-08-26 2021-11-30 长江存储科技有限责任公司 三维存储器及其制备方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
US20040157457A1 (en) 2003-02-12 2004-08-12 Songlin Xu Methods of using polymer films to form micro-structures
KR101644732B1 (ko) 2012-04-11 2016-08-01 도쿄엘렉트론가부시키가이샤 Finfet 방식용 게이트 스페이서 프로파일, 핀 손실 및 하드 마스크 손실 개선을 위한 종횡비 종속 성막
KR101929185B1 (ko) 2012-05-02 2018-12-17 삼성전자 주식회사 반도체 장치의 제조 방법
US9691882B2 (en) 2013-03-14 2017-06-27 International Business Machines Corporation Carbon-doped cap for a raised active semiconductor region
US9991285B2 (en) * 2013-10-30 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming FinFET device
KR102017611B1 (ko) * 2014-04-04 2019-09-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US9543381B2 (en) 2014-09-11 2017-01-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method of the same
US9620417B2 (en) * 2014-09-30 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method of manufacturing fin-FET devices
US10164049B2 (en) * 2014-10-06 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with gate stack
KR20160044976A (ko) * 2014-10-16 2016-04-26 삼성전자주식회사 핀형 전계 효과 트랜지스터를 구비한 반도체 소자
TWI641135B (zh) * 2014-12-12 2018-11-11 聯華電子股份有限公司 具有磊晶結構之鰭狀場效電晶體
WO2016105348A1 (en) * 2014-12-22 2016-06-30 Intel Corporation Optimizing gate profile for performance and gate fill
US9418994B1 (en) * 2015-03-26 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Fin field effect transistor (FinFET) device structure
US9583485B2 (en) * 2015-05-15 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same
US9449975B1 (en) * 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
CN106328530B (zh) * 2015-06-30 2019-12-03 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US10262870B2 (en) * 2015-07-02 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10269651B2 (en) * 2015-07-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
KR102480002B1 (ko) * 2015-09-23 2022-12-22 삼성전자주식회사 반도체 소자 및 그 제조방법, 그리고 패턴 형성 방법
KR102455494B1 (ko) 2016-02-02 2022-10-14 삼성전자주식회사 반도체 장치
US9997633B2 (en) * 2015-10-02 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, FinFET devices and methods of forming the same
US10096712B2 (en) * 2015-10-20 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming and monitoring quality of the same
DE102016103773A1 (de) 2015-10-20 2017-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-Vorrichtung und Verfahren zum Ausbilden und Kontrollieren ihrer Qualität
KR102308747B1 (ko) 2015-12-03 2021-10-05 삼성전자주식회사 반도체 장치
KR102452999B1 (ko) * 2016-05-03 2022-10-07 삼성전자주식회사 반도체 장치 제조 방법
US9899382B2 (en) * 2016-06-01 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with different gate profile and method for forming the same
US9859165B1 (en) * 2016-07-29 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Planarization process for forming semiconductor device structure
JP2018073971A (ja) * 2016-10-28 2018-05-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
KR102310079B1 (ko) * 2017-03-03 2021-10-08 삼성전자주식회사 반도체 소자
US10204905B2 (en) * 2017-04-25 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10374058B2 (en) * 2017-09-15 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10749007B2 (en) * 2018-03-14 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with desired profile for semiconductor devices
US10840153B2 (en) * 2018-06-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Notched gate structure fabrication

Also Published As

Publication number Publication date
DE102018113799A1 (de) 2019-12-05
US20190371795A1 (en) 2019-12-05
CN110544691B (zh) 2022-02-25
CN110544691A (zh) 2019-12-06
US10515955B1 (en) 2019-12-24
DE102018113799B4 (de) 2020-06-25
KR102038569B1 (ko) 2019-10-31
US11127741B2 (en) 2021-09-21
TWI739071B (zh) 2021-09-11
US20200075588A1 (en) 2020-03-05
US20200075586A1 (en) 2020-03-05
US11133307B2 (en) 2021-09-28

Similar Documents

Publication Publication Date Title
TWI692104B (zh) 半導體裝置與其製作方法
TWI655680B (zh) 半導體裝置及其形成方法
TWI693689B (zh) 半導體裝置及其形成方法
TWI698938B (zh) 半導體結構及其製造方法
CN108695240B (zh) 低阻抗接触窗插塞的形成方法
TWI739071B (zh) 半導體結構及半導體製程方法
TWI597846B (zh) 半導體裝置及其製造方法
US11348927B2 (en) Conductive feature formation
TWI785589B (zh) 半導體裝置及其形成方法
TW201913884A (zh) 用於半導體製造之方法
TWI824500B (zh) 半導體結構及其形成方法
TW202013742A (zh) 半導體裝置及其製造方法
JP2022027740A (ja) トランジスタゲート構造体及び形成方法
TWI807706B (zh) 半導體裝置及其製造方法
TWI735954B (zh) 半導體元件及其形成方法
TWI742402B (zh) 半導體裝置及其製造方法
TWI844100B (zh) 半導體裝置的形成方法
TWI845103B (zh) 半導體裝置結構之形成方法
TWI820775B (zh) 半導體裝置結構及其形成方法
TWI847254B (zh) 半導體裝置之形成方法
TWI832320B (zh) 形成具有接觸特徵之半導體裝置的方法
TWI835174B (zh) 半導體結構及其製造方法
TW202422708A (zh) 半導體裝置及其形成方法
TW202331934A (zh) 半導體裝置結構之形成方法
TW202425071A (zh) 半導體裝置的製造方法