JP2022027740A - トランジスタゲート構造体及び形成方法 - Google Patents

トランジスタゲート構造体及び形成方法 Download PDF

Info

Publication number
JP2022027740A
JP2022027740A JP2021126131A JP2021126131A JP2022027740A JP 2022027740 A JP2022027740 A JP 2022027740A JP 2021126131 A JP2021126131 A JP 2021126131A JP 2021126131 A JP2021126131 A JP 2021126131A JP 2022027740 A JP2022027740 A JP 2022027740A
Authority
JP
Japan
Prior art keywords
dummy gate
distance
layer
nanostructure
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021126131A
Other languages
English (en)
Inventor
士堯 林
Shigyo Rin
振平 陳
Chen-Ping Chen
筱▲ぶん▼ 李
Hsiaowen Lee
志翰 林
Chih-Han Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2022027740A publication Critical patent/JP2022027740A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Bipolar Transistors (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】最小の特徴量の削減を行うことで、より多くの構成要素を所定の領域に統合する装置及び方法を提供する。【解決手段】ナノ構造体トランジスタ/FETは、分離領域72と、分離領域の上面の上に突出しているナノ構造体66と、ナノ構造体に巻き囲まれ、分離領域に接してナノ構造体から第1の距離を離れて延在している底面と、ナノ構造体から第1の距離以上の第2の距離を離れて配置された側壁と、を有するゲート構造体130と、ゲート構造体の側壁上に配置されたハイブリッドフィン82と、を備える。【選択図】図1

Description

本願は、2020年7月31日に提出された米国仮出願第63/059、710号の優先権を主張し、当該出願の全ての内容は本明細書中に参考として援用される。
本発明は、トランジスタゲート構造体及び形成方法に関する。
半導体装置は、例えば、パーソナルコンピュータ、携帯電話、デジタルカメラ、その他の電子機器など、様々な電子用途に用いられている。半導体装置は、典型的には、半導体基板上に、絶縁性又は誘電体層、導電層、及び材料からなる半導体層を順次堆積し、リソグラフィーにより各種材料層をパターニングして回路部品及び素子を形成することにより作製される。
半導体業界では、種々の電子部品(例えば、トランジスタ、ダイオード、抵抗、コンデンサ等)の集積度の向上が図られている。最小の特徴量の削減を行うことで、より多くの構成要素を所定の領域に統合することができる。しかし、最小の特徴量が小さくなると、自分宛の新たな問題が発生する。
本開示は、添付図面を参照しながら、適切な実施形態について詳細に説明する。なお、業界標準では、様々なバターンがスケールに描かれていない。実際には、議論の明確にするために、各バターンの寸法を任意に増減させることが可能である。
図1は、本開示の実施形態に係るナノ構造体トランジスタ/FETの図である。 図2は、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図3は、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図4は、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図5Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図5Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図6Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図6Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図7Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図7Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図8Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図8Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図8Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図9Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図9Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図9Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図10Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図10Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図10Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図11Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図11Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図11Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図12は、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図12Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図12Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図13Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図13Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図13Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図14Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図14Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図14Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図15Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図15Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図15Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図16Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図16Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図16Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図17Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図17Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図17Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図18Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図18Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図18Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図19Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図19Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図19Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図20Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図20Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図20Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図21Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図21Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図21Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図22Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図22Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図22Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図23Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造の図である。 図23Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造の図である。 図23Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造の図である。 図24Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造の図である。 図24Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造の図である。 図24Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造の図である。 図25Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造の図である。 図25Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造の図である。 図25Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造の図である。 図26Aは、それぞれナノ構造体トランジスタ/FETの詳細図である。 図26Bは、それぞれナノ構造体トランジスタ/FETの詳細図である。 図26Cは、それぞれナノ構造体トランジスタ/FETの詳細図である。 図27Aは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図27Bは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図27Cは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。 図27Dは、本開示の実施形態に係るナノ構造体トランジスタ/FETの製造における中間段階の図である。
また、下記の開示は、課題を解決するためになされたものであり、発明の要旨を逸脱しない範囲で種々の変更を行うことが可能である。以下、本開示を簡略化するために構成及び配置に関する具体的な例を説明する。もちろん、これらは一例に過ぎず、これらに限定されるものではない。例えば、以下の説明において、第2の特徴の上方にまたは上に第1の特徴を形成するとは、第1の特徴と第2の特徴とが直接接して形成されている態様を含んでもよいし、第1の特徴と第2の特徴とが直接接していなくてもよいように、第1の特徴と第2の特徴との間に付加的なバターンが形成されている態様を含んでもよい。また、本開示は、各実施例において、参照符号及び/またはキャラクターを繰り返してもよい。なお、この繰り返しは、説明を簡単にするためのものであり、本開示の各種の実施形態の「及び」/「または」という組み合わせの関係を限定するものではない。
また、簡単に説明するために、本開示において、「~の下に」、「~の下方に」、「~より低い」、「~の上に」、「アッパー」等の空間的な相対的な用語を用いて、図面に示すように、一つの素子または特徴と他の素子又は特徴との関係を説明する可能性がある。なお、空間的な相対的な用語とは、図面に示す方向又は位置だけではなく、装置の使用中や動作中の異なる方向又は位置も含むものとする。前記装置は他の方向で(90度又は他の方向)回転させてもよく、本開示では、空間的な相対的な用語についても同様に解釈することができる。
種々の実施形態によれば、ハイブリッドFINに囲まれた半導体フィンおよびナノオーダ構造体の周囲に、裾引きが小さいダミーゲートが形成されている。ダミーゲートは、置換ゲート処理により除去される。フッティングプロファイルの小さいダミーゲートを形成することにより、以降の工程、例えば、置換ゲート工程及び/又はソース/ドレイン領域のエピタキシャル成長工程のための処理ウィンドウを増加させることができる。
本実施形態では、ナノ構造体のトランジスタ/FETを含むダイを例に挙げて説明する。しかし、他の種類のトランジスタ/FET(例えば、フィン型電界効果トランジスタ(フィンFET)、プレーナ型トランジスタなど)を備える金型は、ナノ構造体トランジスタ/FETに代えて、または組み合わせて適用してもよい。
図1は、実施形態に係るナノ構造体トランジスタ/FET(例えば、ナノワイヤFET、ナノシートFET等)の一例を示す図である。なお、図1は立体視であり、図の明瞭化のために、ナノ構造体トランジスタ/FETの一部の特徴を省略している。ナノ構造体トランジスタ/FETは、ナノシート型の電界効果型トランジスタ(NSFETs)、ナノワイヤ型の電界効果型トランジスタ(NWFETs)、ゲート/全周囲型の電界効果型トランジスタ(GAAFETs)等であってもよい。
ナノ構造体トランジスタ/FETは、ナノ構造体66(例えば、半導体基板)上の半導体フィン62上にナノ構造体66(例えば、ナノシート、ナノワイヤー等)を形成し、ナノ構造体66をナノ構造体トランジスタ/FETのチャネル領域としたものである。ナノ構造体66は、p型ナノ構造体、n型ナノ構造体、またはこれらの組み合わせを含んでいてもよい。隣接する半導体フィン62間には、シャロートレンチアイソレーション(STI:Shallow Trench Isolation)領域等の素子分離領域72が隣接する素子分離領域72間から上方に突出して配置されている。なお、素子分離領域72は、基板50とは別体に記載されているが、ここでは、半導体基板単体、あるいは半導体基板と素子分離領域との組み合わせを意味する場合がある。また、半導体フィン62の底部は、基板50から離間して図示されているが、半導体フィン62の底部は、基板50と連続した材料であってもよい。ここで、半導体フィン62とは、隣り合う素子分離領域72の間から上方に延びる部分をいう。
ゲート構造体130は、半導体フィン62の上面およびナノ構造体66の上面、側壁および底面に沿っている。ゲート構造体130の両側の半導体フィン62上には、エピタキシャルソース/ドレイン領域108が配置されている。ソース/ドレイン領域108は、種々の半導体フィン62の間で共有されていてもよい。例えば、隣接するソース/ドレイン領域108同士を同一のソース/ドレインコンタクトで接続するなどして、隣接するエピタキシャルソース/ドレイン領域108同士を電気的に接続してもよい。
分離領域72上および隣接するエピタキシャルソース/ドレイン領域108間には、ハイブリッド用フィン82が配置されている。ハイブリッドフィン82は、エピタキシャル成長時に一部のエピタキシャルソース/ドレイン領域108が合一しないように、エピタキシャル成長をブロックする。例えば、セル境界にハイブリッド用フィン82を形成し、隣接するセルのエピタキシャルソース/ドレイン領域108を分離してもよい。
また、図1には、後述する図に用いられる基準断面が示されている。断面AーA’は、半導体フィン62の長手軸に沿っており、例えば、ナノ構造体トランジスタ/FETのエピタキシャルソース/ドレイン領域108間に電流が流れる方向である。断面BーB’は、ゲート構造体130の長手軸に沿っており、例えば、ナノ構造体トランジスタ/FETのエピタキシャルソース/ドレイン領域108間に電流が流れる方向に垂直な方向である。断面CーC’は、断面BーB’と平行であり、ナノ構造体トランジスタ/FETのエピタキシャルソース/ドレイン領域108を貫通している。以降の図は、これらの基準断面を明確にするためのものである。
図2-図22は、ナノ構造体トランジスタ/FETの製造における中間段階を示す図である。図2、図3及び図4は、三次元図である。図5A、図6A、図10A、図11A、図12A、図13A、図14A、図15A、図16A、図17A、図18A、図19A、図20A、図21A及び図22Aは、図1のAーA’断面と同様の断面に沿った断面図である。図5B、図6B、図7A-図9C、図10B、図11B、図12B、図13B、図14B、図15B、図16B、図17B、図18B、図19B、図20B、図21B、図22Bは、図1のBーB’断面と同様の断面に沿った断面図である。図10C、図11C、図12C、図13C、図14C、図15C、図16C、図17C、図18C、図19C、図20C、図21C、図22Cは、図1のCーC’断面と同様の断面に沿った断面図である。
図2において、基板50は、ナノ構造体トランジスタ/FETを形成するために設けられている。基板50は、例えば、バルク半導体、半導体オンインシュレータ(SOI:semiconductor-on-insulator)基板等の半導体基板であってもよいし、ドープされていてもよい(例えば、p型またはn型の不純物がドープされていてもよいし、アンドープであってもよい)。基板50は、例えば、シリコンウエハ等のウエハであってもよい。一般に、SOI基板は、絶縁体層上に形成された半導体材料の層である。絶縁体層は、例えば、埋設酸化物(BOX:Buried Oxide)層、酸化シリコン層などであってもよい。絶縁体層は、典型的にはシリコンやガラス基板上に設けられる。また、多層基板や傾斜基板等の他の基板を用いてもよい。基板50の半導体材料としては、シリコン、ゲルマニウム、炭化珪素、ガリウム砒素、ガリウム燐、インジウム燐、インジウム砒素および/またはインジウム合金からなる化合物半導体、シリコンゲルマニウム、ガリウム砒素燐、アルミニウムインジウム砒素、アルミニウムガリウム砒素、ガリウムインジウム砒素、ガリウムインジウム燐、ガリウム/インジウム砒素リンなどの合金半導体、またはこれらの組み合わせなどが挙げられる。
基板50は、n型領域50N及びp型領域50Pを有する。n型領域50Nは、NMOSトランジスタ、例えば、n型ナノ構造体トランジスタ/FET等のn型デバイスを形成するための領域であり、p型領域50Pは、PMOSトランジスタ、例えば、p型ナノ構造体トランジスタ/FET等のp型デバイスを形成するためのものである。n型領域50Nは、p型領域50P(図示せず)から物理的に分離されていてもよく、任意の数のデバイス特性(例えば、他の活性装置、ドーピング領域、素子分離構造等)であってもよい。n形領域50NとP形領域50Pとの間に配置されても良い。ここでは、1つのn型領域50Nと1つのp型領域50Pとを示しているが、n型領域50Nおよびp型領域50Pの数は任意である。
基板50には、p型またはn型の不純物が低濃度にドープされていてもよい。基板50の上部には、パンチスルー(APT)注入が行われ、APT領域が形成されてもよい。なお、APT注入時には、基板50に不純物を注入してもよい。不純物は、n型領域50 nおよびp型領域50Pのそれぞれに形成されるソース/ドレイン領域の導電型とは反対の導電型を有していてもよい。前記APT領域は、前記ナノ構造体トランジスタ/FETにおける前記ソース/ドレイン領域の下に延在していてもよい。また、ソース/ドレイン領域から基板50へのリークを低減するために、APT領域を用いてもよい。また、上記APT領域におけるドーピング濃度は、1018cmー3~1019cmー3であることが好ましい。
基板50上には、積層体52が形成されている。積層体52は、交互の第1半導体層54及び第2半導体層56を含む。第1半導体層54は第1半導体材料で形成され、第2半導体層56は第2半導体材料で形成されている。半導体材料は、基板50の候補となる半導体材料の中から選択することができる。図示の実施形態では、積層体52は、第1半導体層54及び第2半導体層56の3層から構成されている。なお、積層体52は、任意の数の第1半導体層54及び第2半導体層56を含んでいてもよい。例えば、積層体52は、第1半導体層54及び第2半導体層56のそれぞれの1層~10層を含んでいてもよい。
図示の実施形態では、後述するように、第1半導体層54を除去し、第2半導体層56をパターニングして、n型領域50Nおよびp型領域50Pの両方に、ナノ構造体トランジスタ/FETのチャネル領域を形成する。第1半導体層54は、後の加工において除去され、第2半導体層56の上面および下面を露出させる犠牲層(またはダミー層)である。第1半導体層54の第1半導体材料は、シリコンゲルマニウム等の第2半導体層56のエッチングに対して高いエッチング選択比を有する材料である。第2半導体層56の第2半導体材料は、シリコン等のn型及びp型の両方に適した材料である。
別の実施形態(図示せず)では、第1の半導体層54をパターニングして、1つの領域(例えば、P型領域50P)にナノ構造体トランジスタ/FETのチャネル領域を形成し、第2の半導体層56をパターニングして、他の領域(例えば、N型領域50N)にナノ構造体トランジスタ/FETのチャネル領域を形成する。第1の半導体層54の第1の半導体材料としては、例えば、シリコンゲルマニウム(例えば、SiGe1ーX、Xは0~1の範囲とすることができる)、純ゲルマニウム、IIIーV族化合物半導体、IIーVI族化合物半導体等のp型デバイスに適した材料を用いることができる。第2半導体層56の第2半導体材料としては、シリコン、炭化シリコン、IIIーV族化合物半導体、IIーVI族化合物半導体等のn型デバイスに適した材料を用いることができる。なお、第1の半導体材料と第2の半導体材料とは、エッチングの選択比が高いため、n型領域50Nの第2の半導体層56を除去せずに第1の半導体層54を除去し、p型領域50Pの第1の半導体層54を除去せずに第2の半導体層56を除去してもよい。
積層体52の各層は、例えば、化学蒸着(CVD:)Chemical Vapor Deposition)法や原子層堆積(ALD:Atomic Layer Deposition)法などのプロセスにより堆積された気相エピタキシー法(VPE:vapor phase epitaxy)、分子線エピタキシー(MBE:Molecular Beam Epitaxy)法などのプロセスにより成長させることができる。各層の膜厚は、5nm~30nmの範囲とすることができる。幾つかの実施形態では、一部の層(例えば、第2半導体層56)は、他の層(例えば、第1半導体層54)よりも薄く形成されている。例えば、第1の半導体層54を犠牲層(又はダミー層)とし、第2の半導体層56をパターニングしてn型領域50N及びp型領域50Pの両方にナノ構造体トランジスタ/FETのチャネル領域を形成する態様において、第1の半導体層54の厚さを第1の厚さとし、第2の半導体層56の厚さを第2の厚さとし、第2の厚さを第1の厚さの30%以上60%以下とすることができる。第2半導体層56を薄く形成することで、チャネル領域をより高密度に形成することができる。
図3において、基板50および積層体52には、トレンチがパターニングされて、半導体フィン62、ナノ構造体64およびナノ構造体66が形成されている。半導体フィン62は、基板50にパターニングされた半導体ストリップである。ナノ構造体64及びナノ構造体66は、第1半導体層54及び第2半導体層56の残部を含む。トレンチは、反応性イオンエッチング(RIE)、中性ビームエッチング(NBE)等の任意のエッチング処理によってパターニングされていてもよいし、これらの組み合わせによって形成されていてもよい。エッチングは、異方性であってもよい。
半導体フィン62及びナノ構造体64、66のパターニングは、任意の適切な方法で行うことができる。例えば、半導体フィン62及びナノ構造体64、66を、ダブルパターニング法やマルチパターニング工程を含む1回以上のフォトリソグラフィ工程を用いてパターニングしてもよい。一般に、ダブルパターニング法やマルチパターニング工程は、フォトリソグラフィーと自己整合プロセスを組み合わせたものであり、例えば、1回の直接フォトリソグラフィー工程を用いて、それ以外のピッチのパターンを作成することができる。例えば、一実施形態では、基板上に犠牲層を形成し、フォトリソグラフィ工程を用いてパターニングする。パターニングされた犠牲層に沿って、自己整合的にスペーサを形成する。その後、犠牲層を除去し、残りのスペーサをマスク58として半導体フィン62及びナノ構造体64、66をパターニングする。幾つかの実施形態では、ナノ構造体64、66上にマスク58(又はそれ以外の層)が残っていてもよい。
半導体フィン62及びナノ構造体64、66の幅は、8nm~40nmの範囲内であってもよい。図示の実施形態では、n型領域50N及びp型領域50Pにおいて、半導体フィン62及びナノ構造体64、66の幅が略同一である。他の実施形態では、1つの領域(例えば、N型領域50N)における半導体フィン62及びナノ構造体64、66の幅は、他の領域(例えば、P型領域50P)における半導体フィン62及びナノ構造体64、66よりも広い。
図4において、基板50上および隣接する半導体フィン62間には、STI領域72が形成されている。STI領域72は、ナノ構造体64、66の少なくとも一部が隣接するSTI領域72の間から突出するように、少なくとも一部の半導体フィン62の周囲に配置されている。図示の実施形態では、STI領域72の上面は、半導体フィン62の上面よりも下方に位置している。本実施形態では、STI領域72の上面は、半導体フィン62の上面と同一または同一平面上(プロセスばらつき内)に形成されている。
STI領域72は、任意の適切な方法で形成することができる。例えば、基板50とナノ構造体64、66との間、及び隣接する半導体フィン62間に絶縁材料を形成することができる。絶縁材料は、酸化シリコン等の酸化物、窒化シリコン等の窒化物、又はこれらの組み合わせであり、例えば、高密度プラズマCVD(HDPーCVD)、流動化学気相成長(FCVD)等のCVD法、又はこれらの組み合わせで形成することができる。他の絶縁材料としては、どのような方法で形成されたものを用いてもよい。幾つかの実施形態では、絶縁材料は、FCVD法により形成された酸化シリコンである。また、絶縁材料が形成された後にアニール処理を行ってもよい。一実施形態では、絶縁材料は、余剰の絶縁材料がナノ構造体64、66を被覆するように形成されている。STI領域72は単層で示されているが、複数の層を利用してもよい。例えば、基板50、半導体フィン62、ナノ構造体64、66の表面に沿ってライナー(図示せず)を形成してもよい。その後、ライナー上に前述したような絶縁材料を形成してもよい。次に、絶縁材料に除去処理を施し、ナノ構造体64、66上の余分な絶縁材料を除去する。また、化学的機械的研磨(CMP)、エッチバック、またはこれらの組み合わせなどの平坦化処理を利用してもよい。なお、ナノ構造体64、66上にマスク58を残した形態では、平坦化処理によってマスク58を露出させてもよいし、マスク58を除去してもよい。平坦化処理後、絶縁材料の上面とマスク58(存在する場合)またはナノ構造体64、66とが同一平面上にある(プロセスばらつきの範囲内)。これにより、マスク58(存在する場合)やナノ構造体64、66の上面が絶縁材料を介して露出する。図示の実施形態では、ナノ構造体64、66上にマスク58が残存している。次に、絶縁材料をリセスしてSTI領域72を形成する。絶縁材料は、ナノ構造体64、66の少なくとも一部が絶縁材料の隣接する部分の間から突出するように後退している。また、STI領域72の上面は、図示するように平面であってもよいし、凸面であってもよいし、凹面(例えば、ディッシング等)であってもよいし、これらの組み合わせであってもよい。図示の実施形態では、STI領域72の上面が凹面であり、STI領域72の一部が半導体フィン62の側壁まで延在している。STI領域72の上面は、適宜のエッチングにより、平坦、凸及び/又は凹に形成されていてもよい。絶縁材料は、絶縁材料の材料に選択的に選択されるもの(例えば、半導体フィン62やナノ構造体64、66よりも速い速度で選択的にSTI領域72の絶縁材料をエッチングするもの)など、任意のエッチング処理を用いて後退させてもよい。例えば、希フッ酸(DHF)酸を用いて酸化除去を行ってもよい。
なお、前述した工程は、半導体フィン62及びナノ構造体64、66の形成方法の一例である。また、半導体フィン62及び/又はナノ構造体64、66は、マスクを用いて形成してもよいし、エピタキシャル成長法を用いて形成してもよい。例えば、基板50の上面に誘電体層を形成し、この誘電体層を介してトレンチをエッチングして下地基板50を露出させることができる。トレンチ内にエピタキシャル構造をエピタキシャル成長させることができ、エピタキシャル構造が誘電体層から突出して半導体フィン62及び/又はナノ構造体64、66を形成するように誘電体層を後退させることができる。エピタキシャル構造は、第1の半導体材料および第2の半導体材料など、前述した交互半導体材料を含んでいてもよい。エピタキシャル構造をエピタキシャル成長させる場合には、エピタキシャル成長中にエピタキシャル成長させた材料をinsituドーピングしてもよいが、insitu/注入ドーピングを併用してもよい。
また、ナノ構造体64、66、半導体フィン62、及び/又は基板50には、適宜のウェル(図示せず)が形成されていてもよい。ウェルは、n型領域50Nおよびp型領域50Pのそれぞれに形成されるソース/ドレイン領域の導電型とは反対の導電型を有していてもよい。N型領域50NにはP型ウェルが形成され、P型領域50PにはN型ウェルが形成される。N型領域50N及びP型領域50Pの両方に、P型ウェル又はN型ウェルが形成される場合がある。
また、ウェルの種類が異なる実施形態において、n型領域50Nとp型領域50Pとのインプラント工程は、フォトレジスト等のマスク(図示せず)を用いて行ってもよい。例えば、n型領域50Nの半導体フィン62、ナノ構造体64、66、STI領域72上にフォトレジストを形成してもよい。フォトレジストをパターニングして、p型領域50Pを露出させる。フォトレジストは、公知の技術を用いて形成することができ、許容されるフォトリソグラフィ技術を用いてパターニングすることができる。フォトレジストをパターニングすると、p型領域50Pにn型不純物注入を行い、フォトレジストをマスクとしてn型領域50Nにn型不純物が注入されないようにする。n型不純物は、1013cmー3~1014cmー3の範囲の濃度まで注入されたリン、ヒ素、アンチモン等であってもよい。インプラントの後、任意のアッシング処理等により、フォトレジストを除去してもよい。
次に、p型領域50Pの注入に先立ち、p型領域50Pの半導体フィン62、ナノ構造体64、66及びSTI領域72上にフォトレジスト等のマスク(図示せず)を形成する。フォトレジストをパターニングして、n型領域50Nを露出させる。フォトレジストは、公知の技術を用いて形成することができ、許容されるフォトリソグラフィ技術を用いてパターニングすることができる。フォトレジストをパターニングすると、n型領域50Nにp型不純物注入を行い、フォトレジストをマスクとしてp型領域50Pにp型不純物が注入されないようにしてもよい。p型不純物は、領域に1013cmー3~1014cmー3の濃度で注入されたホウ素、フッ化ホウ素、インジウム等であってもよい。インプラントの後、任意のアッシング処理等により、フォトレジストを除去してもよい。
n型領域50Nおよびp型領域50Pのインプラントの後、注入したp型および/またはn型不純物を活性化するために、注入ダメージを修復するためのアニールを行ってもよい。また、半導体フィン62及び/又はナノ構造体64、66に対してエピタキシャル構造をエピタキシャル成長させる場合には、成長時に成長させた材料をinsituドーピングしてもよいが、insitu/注入ドープを併用しても構わない。
図5A~図22Cは、実施例装置の製造における各種工程を示す図である。図5A-図22Cは、n形領域50N及びp形領域50Pのいずれかの特徴を例示している。例えば、N型領域50N及びP型領域50Pの両方に適用可能である。各図に付随するテキストには、n型領域50N及びp型領域50Pの構造の違い(いずれも含む)が記載されている。詳しくは後述するが、半導体フィン62の間には、ハイブリッド用フィン82が形成されている。図5A-図22Cは、それぞれの断面において、2つの半導体フィン62と、2つの半導体フィン62の間に配置されたハイブリッドフィン82およびSTI領域72の部分とを示している。
図5A-図5Bにおいて、マスク58(本実施形態)と、半導体フィン62と、ナノ構造体64、66と、STI領域72との間には、ダミーゲート層74が形成されている。ダミーゲート層74は、半導体材料(例えば、基板50の半導体材料の候補から選択される1つ)で形成されていてもよいし、CVD法やALD法等のプロセスにより堆積された、VPEやMBE等のプロセスにより成長されてもよい。例えば、ダミーゲート層74は、シリコン又はシリコンゲルマニウムから形成されていてもよい。ダミーゲート層74は、STI領域72上に1nm~100nmの範囲で厚さtで形成することができる。ダミーゲート層74の厚さは、ナノ構造体トランジスタ/FETの置換ゲート構造体の寸法を決定する。
図6A-図6Bにおいて、ダミーゲート層74をパターニングして、マスク58(存在する場合)の周囲にダミーゲート76を形成し、半導体フィン62、ナノ構造体64、66を形成する。ダミーゲート76は、STI領域72上に配置されている。ダミーゲート層74は、パターニングされると、マスク58の側壁に残った部分(存在する場合)と、半導体フィン62と、ナノ構造体64、66とを有する(ダミーゲート76を形成する)。ダミーゲート76は、後の加工で露出するナノ構造体64、66の側壁を覆ってチャネル領域を形成する。ダミーゲート76は加工時の一時的なスペーサとして用いられ、その後、ナノ構造体66のナノ構造体トランジスタ/FETのチャネル領域となる部分の側壁を露出させるために除去される。具体的には、図示の実施形態では、次に、ダミーゲート76及びナノ構造体64を除去し、ナノ構造体66に巻かれたゲート構造体に置換する。ダミーゲート76は、ナノ構造体66の材料のエッチングに対して高いエッチング選択比を有する材料で形成されている。ダミーゲート76は、ナノ構造体64と同じ半導体材料で形成されていてもよいし、異なる材料で形成されていてもよい。
詳しくは後述するが、ダミーゲート76は、裾引きが小さいように形成されている。ダミーゲート76の裾引き形状とは、ダミーゲート76のSTI領域72の上面に沿った部分の形状及び寸法をいう。フッティングプロファイルが小さいダミーゲート76を形成するとは、STI領域72の上面のダミーゲート76の部分がSTI領域72の凹面に沿って外側にフレアしないようにダミーゲート76を形成することである。このように、ダミーゲート76の底面は、ナノ構造体64、66から第1の距離dだけ離れて延びており、ダミーゲート76の外側の側壁は、ナノ構造体64、66から第2の距離Dだけ離れており、第1の距離Dが第2の距離D以下である。第1距離Dは、半導体フィン62の上面よりも下方に測定され、第2距離Dは、半導体フィン62の上面と底ナノ構造体66の下面との間の第2距離Dである。続いて、第1距離D及び第2距離Dの寸法について、より詳細に説明する。フッティングプロファイルが小さいダミーゲート76を形成することにより、以降の工程、例えば、ソース/ドレイン領域の置換ゲート工程及び/又はエピタキシャル成長工程のための加工窓を広げることができる。
図7A-図9Cは、フッティングプロファイルが小さいダミーゲート76のパターニングにおける中間段階を示す図である。ダミーゲート76は、ダミーゲート層74を複数のエッチング工程でパターニングすることにより、裾引きが小さく形成されている。具体的には、まず、ダミーゲート層74をダミーゲート76(図7A-図7B参照)にパターニングする第1エッチング工程を行う。保護層80は、ダミーゲート76の上部76U(図7A-図7B参照)に沿って形成されており、ダミーゲート76の下部76Lは、保護層80に露出している。次に、ダミーゲート76の上部76Uを保護層80で被覆した状態で、ダミーゲート76の下部76Lをトリミングする第2エッチング工程を行う(図8A-図8B参照)。ダミーゲート76の下部76Lをトリミングすることにより、ダミーゲート76の下部76Lの幅を小さくすることで、ダミーゲート76の裾引きを低減することができる。保護層80は、必要に応じて除去されてもよい(図9A-図9B参照)。
図7A-図7Bでは、まず、ダミーゲート層74(図5A-図5B参照)をダミーゲート76にパターン形成する第1エッチング工程を行う。第1のエッチング工程は、ドライエッチングであってもよいし、ウェットエッチングであってもよいし、これらの組み合わせであってもよい。第1エッチング工程は、異方性であってもよい。第1のエッチング工程により、ダミーゲート層74のマスク58上(存在する場合)又はナノ構造体64、66上の部分が除去される。
ナノ構造体64、66の面密度は、第1のエッチング工程中のローディングに影響を与えて、第1のエッチング工程の結果を決定する。疎な領域のナノ構造体64、66に沿ったダミーゲート76は、図7Aに示すように、ナノ構造体64、66間のSTI領域72が露出するようにパターニングされていてもよい。また、図7Bに示すように、ナノ構造体64、66間のSTI領域72を覆うように、密な領域のナノ構造体64、66に沿ったダミーゲート76をパターニングしてもよい。ナノ構造体64、66間の臨界寸法(CD)は、2nm以上2000nm以下であり、疎な領域とは、CDがこの範囲の下限に近い領域を意味し、密な領域とは、CDがこの範囲の上限に近い領域をいう。同一の基板50は、疎な領域と密な領域とを有していてもよく、このような第1のエッチング工程により、同一の基板50上に、図7A及びBの構造が形成される。
第1のエッチング工程は、裾引きの大きなダミーゲート76を形成する。裾引きの大きなダミーゲート76を形成するとは、STI領域72の上面のダミーゲート76の部分がSTI領域72の凹面に沿って外側にフレアするようにダミーゲート76を形成することである。すなわち、ダミーゲート76の側壁は、ダミーゲート76の頂部からダミーゲート76の底部に向かう方向に広がる距離だけ離間している。図7Aを参照して、ナノ構造体64、66間のSTI領域72が露出するようにダミーゲート76をパターニングすると、第1の距離Dは第2の距離Dよりも大きくなる。本実施形態では、ダミーゲート層74のSTI領域72上の部分を除去する。図7Bを参照して、ダミーゲート76をパターニングして、ナノ構造体64、66間のSTI領域72を覆うと、ダミーゲート76は、STI領域72の凹面を横切るように延びている。本実施形態では、ダミーゲート層74のSTI領域72上の部分が薄膜化されている。これにより、第1のエッチング工程後に、STI領域72上のダミーゲート76の厚さTが減少する。第1のエッチング工程の後、ダミーゲート層74の厚さTは、0.3nm~20nmの範囲内であり、厚さTは、厚さT未満である。
また、ダミーゲート76の上部76U及びマスク58(存在する場合)又はナノ構造体64、66に沿って保護層80が形成される。本実施形態では、ダミーゲート76の下部76Lに沿って保護層80が形成されていないため、ダミーゲート76の下部76Lが露出した後、トリミングを行うことができる。また、ダミーゲート76のSTI領域72の上面を覆う部分にも保護層80が形成されていないため(図7B参照)、ダミーゲート76の一部が露出した後、トリミングの際に除去することができる。ここで、ダミーゲート76の上部76Uとは、一定距離だけ離間した直線状の側壁を有し、上面が丸みを帯びた部分をいい、ダミーゲート76の下部76Lとは、STI領域72に沿って外側にフレアを有する部分をいう。ダミーゲート76の下部76Lは、ダミーゲート76に隣接し、かつ、STI領域72に最も近接して配置されたナノ構造体64/66の底面64Bの下方に配置されている。幾つかの実施形態では、ダミーゲート76の上部76Uは、ナノ構造体64/66の底面64Bの上方に配置されている。
本実施形態では、保護層80は、ダミーゲート層74をパターニングするための第1エッチング工程により生成された副生成層80Bである。副生成層80Bは、第1のエッチング工程で用いられる第1のエッチング工程において、パッシベーション用のガスを用いて形成される。パッシベーションガスは、第1のエッチング工程の選択比を制御し、第1のエッチング工程後の副生成層80Bの後に残存するエッチング副生成物の生成を促進する。副生成層80Bの厚さは、2Å-150Åの範囲とすることができる。副生成層80Bの厚さは、ダミーゲート76の側壁に沿った下の厚さよりも厚く、副生成層80Bは、逆台形の輪郭形状を有していてもよい。このような厚さ及び形状の副生成層80Bを形成することにより、その後にダミーゲート76の下部76Lをトリミングする際に、ダミーゲート76の上部76Uが保護される。このような厚さや形状の副生成層80Bを形成することにより、その後にダミーゲート76の下部76Lをトリミングする際に、ダミーゲート76の上部76Uを保護することができない場合がある。
第1のエッチング工程は、主エッチングガスとパッシベーションガスとを含むガスソースを用いたドライエッチングである。主エッチングガスとしては、Cl、HBr、CF、CHF、CH、CHF、C、BCl、SF、H等を用いることができる。パッシベーションガスとしては、N、O、CO、SO、CO、CH、SiCl等が挙げられる。幾つかの実施形態では、ガスソースは、Ar、He、Ne等の希ガスを含む。第1のエッチング工程では、プラズマが生成される。幾つかの実施形態では、第1エッチング工程は、周期的に実施される。例えば、第1のエッチング工程は、メインエッチングガスを分注する工程と、パッシベーションガスを分注する工程とを含んでいてもよい。エッチングサイクルは50回まで繰り返すことができる。第1のエッチング処理の処理条件としては、例えば、1mTorr~800mTorrの範囲の圧力、10W-3000Wの範囲のプラズマ源パワー(ラジカルに対するイオンの比率を制御する)、0-3000Wの範囲のプラズマバイアスパワー(例えば、等方性エッチング又は異方性エッチング)、1sccm~5000sccmの範囲のガスソース流量が挙げられる。このような範囲のパラメータで第1のエッチング処理を行うことにより、副生成層80Bを所望の厚さ、形状(前述)で形成することができる。
副生成層80Bの組成は、第1のエッチング工程で利用されるパッシベーションガスに依存する。また、ダミーゲート層74をシリコン又はシリコンゲルマニウムで形成する場合には、副生成層80Bは、酸素系の不動態ガス(例えば、O、CO、SO、CO等)を用いた場合に形成されるSiO又はSiGeOであり、副生成物層80Bは、窒素系の不動態ガス(例えば、N等)を用いた場合に形成されるSiN又はSiGeN副生成物であり、副生成物層80 Bは、硫黄系パッシベーション用ガス(例えば、SO等)を用いた場合に形成されるSIS又はSiGeS副生成物であってもよい。第1のエッチング工程では、複数のパッシベーション膜を利用してもよい。例えば、第1のエッチング工程において、酸素系パッシベーションガス、窒素系パッシベーションガス、硫黄系パッシベーションガス(例えば、SO、N)の混合物を用い、副生成層80BをSiGeS副生成物としてもよい。
図8A-8Cでは、ダミーゲート76の上部76Uを保護層80で覆った状態で、ダミーゲート76の下部76Lをトリミングする第2エッチング工程を行う。第2エッチング工程は、ウェットクリーンであってもよく、ダミーゲート76の下部76Lの幅を狭くすることで、ダミーゲート76の裾引きを低減する。具体的には、第2のエッチング工程は、ダミーゲート76の裾引きが小さくなるまで、ダミーゲート76の下部76Lを横方向にエッチングする。第2のエッチング工程は、第1のエッチング工程よりも横方向のエッチング速度を大きくすることができ、第1のエッチング工程よりも縦方向のエッチング速度を小さくすることができ、第2のエッチング工程をトリミング工程とすることができる。ダミーゲート76が、ナノ構造体64、66間のSTI領域72を覆う態様(図7B参照)において、第2エッチング工程は、STI領域72の上面も露出させる。保護層80は、第2のエッチング工程においてエッチストップ層として機能し、第2のエッチング工程においてトリミングされないようにダミーゲート76の上部76Uを保護する。すなわち、第2エッチング工程においてダミーゲート76の下部76Lがエッチングされ、第2エッチング工程においてダミーゲート76の上部76Uがエッチングされない(少なくともダミーゲート76の下部76Lよりもエッチングされにくい)。第1の距離Dは、図8Aに示すように、第2のエッチング工程後の第2の距離Dと等しい。幾つかの実施形態では、第1距離Dは、図8B及び図8Cに示すように、第2エッチング工程後の第2距離Dよりも小さい。
いくつかの実施形態では、第2エッチング工程は、溶剤に主エッチング薬液および補助エッチング薬液を用いたウェットエッチングである。メインエッチング薬液は、HF、F等であってもよい。補助エッチング薬液は、O、HSO、HCl、HBrなどであってもよい。溶媒としては、例えば、脱イオン(DI)水、アルコール、アセトン等が挙げられる。
図8Aの構造は、ナノ構造体64、66間のSTI領域72が露出するようにダミーゲート76をパターニングした後、ダミーゲート76の下部76Lをトリミングすることにより、図形7A、例えばの構造を第2のエッチング処理することにより得ることができる。例えば、第2エッチング処理は、基板50の主面と略平行な横方向にエッチングするようにプラズマバイアス電力を調整することにより制御することができる。本実施形態では、ダミーゲート76の下部76Lの側壁は、ダミーゲート76の頂部からダミーゲート76の底部に向かう方向に一定の距離だけ離間している。これにより、ダミーゲート76の下部76Lの側壁は、基板50の主面に対して略垂直となる。
図8Bの構造は、ナノ構造体64、66間のSTI領域72が露出するようにダミーゲート76をパターニングした後、ダミーゲート76の下部76Lをトリミングすることにより、図形7A、例えばの構造を第2のエッチング処理することにより得ることができる。例えば、第2エッチング処理は、基板50の主面と略平行な横方向にエッチングするようにプラズマバイアス電力を調整することにより制御することができる。図8Bの実施形態のダミーゲート76は、図8Aの実施形態よりもエッチングすることができ、STI領域72に沿って内側にフレアを発生させることができる。本実施形態では、ダミーゲート76の下部76Lの側壁は、ダミーゲート76の頂部からダミーゲート76の底部に向かう方向に直線的に減少する距離だけ離間している。これにより、ダミーゲート76の下部76Lの側壁は、基板50の主面に平行な面と鋭角をなす。
図8Cの構造は、ナノ構造体64、66間のSTI領域72を覆うようにダミーゲート76をパターニングした後、STI領域72が露出するようにダミーゲート76の下部76Lをトリミングすることにより、図7B、例えばの構造を第2のエッチング処理することにより得ることができる。例えば、基板50の主面に平行な面に対して鋭角をなす斜め方向にエッチングするように、第2エッチング工程を制御する(プラズマバイアス電力を調整する)ことができる。本実施形態では、ダミーゲート76の下部76Lの側壁は、ダミーゲート76の頂部からダミーゲート76の底部に向かう方向に非線形に減少した後、その方向に非線形に増加する距離だけ離間している。ダミーゲート76がSTI領域72を覆う部分を有する場合(図7B参照)、これらの部分のエッチングにより、第2のエッチング工程の横方向のエッチングを低減することができる。このため、ダミーゲート76の下部76Lの側壁には、側壁凹部76Rが形成されている。側壁凹部76Rの底部には、ナノ構造体64、66から第3の距離Dが配置されており、第3の距離Dは、第2の距離D及び第1の距離Dよりも小さい。第3距離Dは、第2距離Dと第1距離Dとを測定した点の間で測定される。
なお、図9A-9C中、保護層80は、必要に応じて除去される。保護層80は、ダミーゲート76の下部76Lをトリミングした後に行われるウェット洗浄により除去されることが好ましい。保護層80は、ダミーゲート76の下部76Lをトリミングする第2エッチング工程により除去されることが好ましい。他の実施形態(後述)では、保護層80は除去されず、最終的な素子に残存する。
図9Aの実施形態には、図形10A-22Cが示されている。また、図示の実施形態では、保護層80を除去している。なお、図8A-図8C又は図9B~図9Cの実施形態を用いて同様の処理を行ってもよい。
図10A-図10Cに示すように、マスク58(本実施形態)と、半導体フィン62と、ナノ構造体64、66と、ダミーゲート76との間には、ハイブリッド型のフィン層78が形成されている。ハイブリッドフィン層78は、半導体フィン62、ナノ構造体64、66及びダミーゲート76のエッチングに対してエッチング選択比が高い1層以上の誘電体材料により形成されている。誘電体材料としては、例えば、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、シリコン酸炭窒化物、シリコン炭窒化物、金属系誘電体材料、又はこれらの組み合わせ等が挙げられ、LPCVD法、PECVD法、流動性化学気相成長法(FCVD)等のコンフォーマルな成膜法により形成することができる。他の絶縁材料としては、どのような方法で形成されたものを用いてもよい。ハイブリッドフィン層78は、例えば、フルオロケイ酸塩ガラス(FSG)等の低誘電率の誘電体材料(例えば、K値が3.5未満の誘電体材料)で形成されている。ハイブリッドフィン層78は、ダミーゲート76で満たされていない半導体フィン62とナノ構造体64、66との間の残りの領域に充填されており、マスク58(存在している場合)やナノ構造体64、66の上面に形成されていてもよい。本実施形態では、ハイブリッドフィン層78は、異なる材料で形成された複数の第1のライナ層78A及び第2のフィル層78Bを有している。
図11A-図11Cでは、ハイブリッドフィン層78の余剰部分がマスク58(存在している場合)やナノ構造体64、66の上面を超えている余剰部分を除去してハイブリッドフィン82を形成する除去工程を行っている。また、化学的機械的研磨(CMP)、エッチバック、またはこれらの組み合わせなどの平坦化処理を利用してもよい。ハイブリッドフィン層78は、平坦化されると、半導体フィン62とナノ構造体64、66との間の領域に残された部分を有する(ハイブリッドフィン82を形成する)。平坦化処理後、ハイブリッド用フィン82、ダミーゲート76およびマスク58(存在する場合)またはナノ構造体64、66の上面は、同一平面上(プロセスばらつき内)である。
ナノ構造体64、66上にマスク58が残っている実施形態において、除去工程は、マスク58を露出させてもよいし、マスク58を除去してもよい。また、マスク58は、除去工程の後に行われる別工程で除去されることが好ましい。マスク58を除去するために、ドライエッチング、ウェットエッチング等のエッチングを行ってもよいし、これらの組み合わせを用いてもよい。エッチングは、異方性であってもよい。なお、マスク58を除去する場合、除去工程は、ダミーゲート76をリセスしてもよいし、リセスしてもよい。
図12A-図12Cにおいて、ハイブリッド用フィン82、ダミーゲート76およびマスク58(存在する場合)またはナノ構造体64、66上には、ダミーゲート層84が形成されている。ダミーゲート層84は、堆積した後、CMPなどにより平坦化してもよい。ダミーゲート層84は、例えば、アモルファスシリコン、多結晶シリコン(ポリシリコン)、シリコンゲルマニウム(PolyーSiGe)、金属、金属窒化物、金属シリサイド、金属酸化物等の導電性又は非導電性の材料で形成され、PVDやCVD等により堆積することができる。ダミーゲート層84は、半導体材料(例えば、基板50の半導体材料の候補から選択される1つ)で形成されていてもよいし、CVDやALD等のプロセスにより堆積された、気相成長(CVD)やMBE等のプロセスによって成長されてもよい。ダミーゲート層84は、絶縁材料のエッチングに対して高いエッチング選択比を有する材料、例えばEードットードット状、ハイブリッド用のフィン82で形成することができる。ダミーゲート層84上には、マスク層86が堆積されていてもよい。マスク層86は、窒化シリコン、酸窒化シリコン等の誘電体材料で形成することができる。この例では、n型領域50Nとp型領域50Pとの間に、1つのダミーゲート層84および1つのマスク層86が形成されている。
図13A-図13Cにおいて、マスク層86は、許容されるフォトリソグラフィ技術およびエッチング技術を用いてパターニングされ、マスク96を形成する。そして、マスク96のパターンを任意のエッチング技術によりダミーゲート層84に転写し、ダミーゲート94を形成する。ダミーゲート94は、後の加工で露出するナノ構造体64、66の上面を覆ってチャネル領域を形成する。マスク96のパターンは、隣接するダミーゲート94を物理的に分離するために用いられてもよい。ダミーゲート94の長手方向は、半導体フィン62の長手方向と略垂直(プロセスばらつき内)であってもよい。マスク96は、任意のエッチング技術を用いてパターニング後に必要に応じて除去することができる。
ダミーゲート76及びダミーゲート94は、チャネル領域68を形成するためにパターニングされるナノ構造体66の部分に沿って一括して延在している。続いて、ダミーゲート76およびダミーゲート94の代わりに、ゲート構造体を形成する。ダミーゲート94をダミーゲート76上に形成することにより、その後に形成されるゲート構造体の高さを高くすることができる。
なお、ダミーゲート94は、上述したように、半導体材料で形成されていてもよい。本実施形態では、ナノ構造体64、ダミーゲート76およびダミーゲート94は、それぞれ半導体材料で形成されている。幾つかの実施形態では、ナノ構造体64及びダミーゲート76は、第1の半導体材料(例えば、シリコンゲルマニウム)で形成され、ダミーゲート94は、第2の半導体材料(例えば、シリコン)で形成されているので、置換ゲート工程において、第1のエッチング工程でダミーゲート94を除去し、第2のエッチング工程でナノ構造体64及びダミーゲート76を一緒に除去してもよい。ナノ構造体64及びダミーゲート76がシリコンゲルマニウムで形成されている場合、ナノ構造体64及びダミーゲート76のゲルマニウム濃度が類似していてもよいし、ナノ構造体64のゲルマニウム濃度がダミーゲート76のゲルマニウム濃度よりも高くなっていてもよいし、ダミーゲート76のゲルマニウム濃度がナノ構造体64のゲルマニウム濃度よりも高くてもよい。幾つかの実施形態では、ナノ構造体64は、第1の半導体材料(例えば、シリコンゲルマニウム)で形成され、ダミーゲート76及びダミーゲート94は、第2の半導体材料(例えば、シリコン)で形成されているので、置換ゲート工程では、第1のエッチング工程でダミーゲート76及びダミーゲート94を一緒に除去し、第2のエッチング工程でナノ構造体64を除去することができる。
また、マスク58(存在する場合)またはナノ構造体64、66上、およびマスク96(存在する場合)およびダミーゲート94の露出した側壁上には、ゲートスペーサ98が形成されている。ゲートスペーサ98は、誘電体材料を1層以上堆積した後、誘電体材料をエッチングすることにより形成することができる。誘電体材料としては、酸化シリコン、窒化シリコン、酸窒化シリコン、酸炭窒化シリコン等を用いることができ、例えば、CVD、PECVD、ALD、プラズマALD(プラズマALD)等のコンフォーマルな成膜法により形成することができる。他の絶縁材料としては、どのような方法で形成されたものを用いてもよい。誘電体材料をパターニングするために、ドライエッチング、ウェットエッチング等のエッチングを行ってもよいし、これらの組み合わせを用いてもよい。エッチングは、異方性であってもよい。エッチングされた誘電体材料は、ダミーゲート94の側壁に残された部分を有する(ゲートスペーサ98を形成する)。ゲートスペーサ98は、エッチング後、図示したように、直線状の側壁を有していてもよいし、図示しない湾曲した側壁を有していてもよい。
また、図示しないLDD領域を形成するために、インプラントを行ってもよい。なお、異なるデバイスタイプの実施形態では、先に説明したウェルのインプラントと同様に、N型領域50N上にフォトレジスト等のマスク(図示せず)を形成し、P型領域50Pを露出させ、P型領域50Pに露出した半導体フィン62及び/又はナノ構造体64、66に適切な種類(例えば、P型)の不純物を注入してもよい。その後、マスクを除去してもよい。続いて、n型領域50Nを露出させながら、p型領域50P上にフォトレジスト等のマスク(図示せず)を形成し、n型領域50Nに露出する半導体フィン62及び/又はナノ構造体64、66に、適切な種類の不純物(例えば、n型)を注入してもよい。その後、マスクを除去してもよい。n型不純物は、前述したn型不純物のいずれであってもよく、p型不純物は、前述したp型不純物のいずれであってもよい。注入時には、チャネル領域68はダミーゲート94に覆われたままであるため、チャネル領域68は、LDD領域を形成するために注入された不純物を実質的に含まない。LDD領域の不純物濃度は、1015cmー3~1019cmー3の範囲であってもよい。また、注入ダメージを修復し、注入した不純物を活性化させるアニールを用いてもよい。
なお、本明細書では、スペーサ及びLDD領域を形成する工程について概略的に説明する。また、他の処理やシーケンスを用いてもよい。例えば、複数のスペーサを用いてもよいし、異なる順序の工程を利用してもよいし、追加のスペーサを形成して除去してもよい。また、n型デバイスとp型デバイスとは、異なる構造や工程を用いて形成されていてもよい。
図14A-図14Cにおいて、マスク58(本例)と、ナノ構造体64、66と、ダミーゲート76には、ソース/ドレイン用リセス104が形成されている。図示の実施形態では、ソース/ドレイン用リセス104は、ナノ構造体64、66および半導体フィン62を貫通している。ソース/ドレイン用リセス104は、基板50内にも延在していてもよい。種々の実施形態において、ソース/ドレイン用リセス104は、基板50をエッチングすることなく基板50の上面まで延在していてもよく、ソース/ドレイン用リセス104の底面がSTI領域72の上面よりも下方に配置されるように、半導体フィン62がエッチングされてもよい。ソース/ドレイン用リセス104は、例えばRIEやNBE等の異方性エッチング法を用いて、ナノ構造体64、66及びダミーゲート76をエッチングすることにより形成することができる。ゲートスペーサ98及びダミーゲート94は、ソース/ドレイン用リセス104を形成するエッチング工程において、半導体フィン62及び/又はナノ構造体64、66の一部を一括してマスクする。ナノ構造体64、66のエッチングには、1回のエッチングを用いてもよいし、複数回のエッチングを用いてナノ構造体64、66をエッチングしてもよい。ソース/ドレイン用リセス104が所望の深さに達した後、ソース/ドレイン用リセス104のエッチングを停止させるために、Timedエッチング法を用いてもよい。また、ソース/ドレイン用リセス104を形成する際に、STI領域72のうち、ハイブリッド用フィン82に隣接する部分をエッチングしてもよい。
ダミーゲート76は、裾引きが小さいため、STI領域72の上面に残存するダミーゲート76を残存させることなく、より容易に除去することができる。このため、ソース/ドレイン領域には、より多くの領域が存在し、ソース/ドレイン領域は、ダミーゲート76の残渣が残らないように形成されていてもよい。これにより、置換ゲート工程中に形成されるソース/ドレイン領域のエッチングを回避することができ、製造歩留まりを向上させることができる。
必要に応じて、マスク58の残りの部分(存在する場合)およびナノ構造体64、例えば、ソース/ドレイン凹部104により露出された側壁には、内部スペーサ106が形成される。詳しくは後述するが、ソース/ドレイン用リセス104内にソース/ドレイン領域が形成され、続いてナノ構造体64が対応するゲート構造体に置換される。内部スペーサ106は、形成されたソース/ドレイン領域と、その後に形成されるゲート構造体との間の分離機能として機能する。また、後にナノ構造体64を除去するエッチング工程など、その後のエッチング工程により、その後に形成されるソース/ドレイン領域の損傷を実質的に防止するために、内部スペーサ106を用いてもよい。
内側スペーサ106を形成する一例として、ソース/ドレイン用リセス104を横方向に広げることができる。具体的には、ナノ構造体64の側壁のうち、ソース/ドレイン用リセス104によって露出している部分を後退させてもよい。ナノ構造体64の側壁は直線状であるが、凹凸であってもよい。このサイドウォールは、ナノ構造体64に選択的に選択されるもの(ナノ構造体66の材料よりも速い速度でナノ構造体64の材料を選択的にエッチングするもの)など、いかなるエッチング処理によっても凹んでいてもよい。エッチングは等方性であってもよい。例えば、ナノ構造体66がシリコンで形成され、ナノ構造体64がシリコンゲルマニウムで形成されている場合、エッチング処理は、水酸化テトラメチルアンモニウム(TMAH)、水酸化アンモニウム(NHOH)等を用いたウェットエッチングであってもよい。他の実施形態では、エッチング工程は、フッ化水素(HF)ガス等のフッ素系ガスを用いたドライエッチングであってもよい。また、ソース/ドレイン用リセス104の形成とナノ構造体64の側壁のリセスの両方に同一のエッチング処理を連続して行ってもよい。次に、ナノ構造体64の凹側壁に内側スペーサ106を形成する。内部スペーサ106は、絶縁材料を順次形成した後、絶縁材料をエッチングすることにより形成することができる。絶縁材料としては、例えば、窒化シリコンや酸窒化シリコンを用いることができるが、lowーk誘電体材料等の適宜の材料を用いることができる。絶縁材料は、ALD法、CVD法などのコンフォーマルな成膜法により成膜することができる。絶縁材料のエッチングは、異方性であってもよい。例えば、RIE、NBE等のドライエッチングであってもよい。なお、内側スペーサ106の外側の側壁は、ゲートスペーサ98の側壁に対して面一に図示されているが、内側スペーサ106の外側の側壁は、ゲートスペーサ98の側壁からはみ出していてもよいし、凹んでいてもよい。すなわち、内部スペーサ106は、一部が充填されていてもよいし、完全に充填されていてもよいし、形成されていてもよい。また、内側スペーサ106の側壁は直線状に図示されているが、内側スペーサ106の側壁は、凹凸であってもよい。また、マスク58の側壁の一部(存在する場合)を後退させて、マスク58の凹側壁にも内部スペーサ106を形成することも可能である。
図15A―15Cにおいて、ソース/ドレイン領域108は、ソース/ドレイン領域108に形成されている。ソース/ドレイン領域108は、ソース/ドレイン領域108の間にダミーゲート94(チャネル領域68)が配置されるように形成されている。本実施形態では、ゲートスペーサ98及び内部スペーサ106を用いて、エピタキシャル成長されたナノ構造体トランジスタ/FETのゲートが形成された後に、エピタキシャルソース/ドレイン領域108がショートしないように、ダミーゲート94とナノ構造体64とを適切な横方向距離だけ離間させる。また、ソース/ドレイン領域108の材料を選択することで、各チャネル領域68に応力を作用させることができ、性能を向上させることができる。
n型領域50Nのエピタキシャルソース/ドレイン領域108は、p型領域50Pをマスクすることにより形成することができる。次に、n型領域50Nのソース/ドレイン領域108に、n型領域50Nのエピタキシャルソース/ドレイン領域108をエピタキシャル成長させる。エピタキシャルソース/ドレイン領域108は、n型デバイスに適した任意の材料を含んでいてもよい。例えば、ナノ構造体66がシリコンである場合、n型領域50Nのエピタキシャルソース/ドレイン領域108には、シリコン、炭化珪素、リンがドープされた炭化珪素、リン化シリコンなどのチャネル領域68に引っ張り歪みを与える材料が含まれていてもよい。n型領域50Nのエピタキシャルソース/ドレイン領域108をn型ソース/ドレイン領域と称し、n型領域50Nのエピタキシャルソース/ドレイン領域108を半導体フィン62およびナノオーダ構造体64、66の表面から立設し、ファセットを有していてもよい。
p型領域50Pのエピタキシャルソース/ドレイン領域108は、n型領域50Nをマスクすることにより形成することができる。次に、p型領域50Pのソース/ドレイン領域108にp型領域50Pのエピタキシャルソース/ドレイン領域108をエピタキシャル成長させる。エピタキシャルソース/ドレイン領域108は、p型デバイスに適した任意の材料を含んでいてもよい。例えば、ナノ構造体66がシリコンである場合、p型領域50Pのエピタキシャルソース/ドレイン領域108は、シリコンゲルマニウム、ボロンがドープされたシリコンゲルマニウム、ゲルマニウム、ゲルマニウム等のチャネル領域68に圧縮歪みを及ぼす材料を含んでいてもよい。p型領域50Pのエピタキシャルソース/ドレイン領域108をp型ソース/ドレイン領域と称し、p型領域50Pのエピタキシャルソース/ドレイン領域108を半導体フィン62およびナノ構造体64、66の表面から立設し、ファセットを有していてもよい。
エピタキシャルソース/ドレイン領域108、ナノ構造体64、66および/または半導体フィン62には、LDD領域を形成するために前述した工程と同様に、不純物を注入してソース/ドレイン領域を形成した後、アニールを行ってもよい。ソース/ドレイン領域の不純物濃度は、1019cmー3~1021cmー3の範囲であってもよい。ソース/ドレイン領域用のn型および/またはp型不純物は、前述した不純物のいずれであってもよい。また、エピタキシャル成長時にエピタキシャルソース/ドレイン領域108がinsituでドープされていてもよい。
エピタキシャルソース/ドレイン領域108は、1層以上の半導体材料層を含んでいてもよい。例えば、エピタキシャルソース/ドレイン領域108は、ライナー層108Aと、主層108Bと、仕上げ層108C(より一般的には、第1の半導体材料層、第2の半導体材料層、第3の半導体材料層)とを有していてもよい。ソース/ドレイン領域108には、任意の数の半導体材料層を用いることができる。ライナー層108A、主層108B及び仕上げ層108Cは、それぞれ異なる半導体材料で形成されていてもよいし、異なる不純物濃度にドープされていてもよい。なお、本実施形態では、ライナ層108Aは、メイン層108Bよりも不純物濃度が低く、仕上げ層108Cは、ライナ層108Aよりも不純物濃度が高く、メイン層108Bよりも不純物濃度が少ないものであってもよい。なお、エピタキシャルソース/ドレイン領域108が3層の半導体材料層を含む場合には、ソース/ドレイン凹部104内にライナー層108Aを成長させ、ライナー層108A上に主層108Bを成長させ、主層108B上に仕上げ層108Cを成長させてもよい。
エピタキシャルソース/ドレイン領域108を形成するためのエピタキシープロセスの結果、エピタキシャルソース/ドレイン領域の上面は、半導体フィン62およびナノ構造体64、66の側壁よりも外側に広がるファセットを有する。しかし、ハイブリッドフィン82は、横方向のエピタキシャル成長を阻害する。このため、図15Cに示すように、エピタキシープロセスが完了した後に、隣接するエピタキシャルソース/ドレイン領域108が分離されたままとなる。エピタキシャルソース/ドレイン領域108は、ハイブリッドフィン82の側壁に接している。図示の実施形態では、エピタキシャルソース/ドレイン領域108は、その上面がハイブリッドフィン82の上面よりも下方に位置するように成長されている。種々の実施形態において、エピタキシャルソース/ドレイン領域108の上面は、ハイブリッド用フィン82の上面よりも上方に配置されており、エピタキシャルソース/ドレイン領域108の上面は、ハイブリッド用フィン82の上面の上下に配置されている。また、本実施形態では、エピタキシャルソース/ドレイン領域108の上面がナノ構造体64、66の上面と面一となるように、エピタキシャルソース/ドレイン領域108を成長させている。他の実施形態では、エピタキシャルソース/ドレイン領域108の上面がナノ構造体64、66の上面よりも上方に位置するように、エピタキシャルソース/ドレイン領域108を成長させる。
図16A-図16Cにおいて、エピタキシャルソース/ドレイン領域108、ゲートスペーサ98、マスク96(存在する場合)またはダミーゲート94上には、第1の層間絶縁膜(ILD)114が堆積されている。第1ILD114は、誘電体材料で形成されており、CVD、プラズマCVD(PECVD)、FCVDなどの任意の方法で成膜することができる。誘電体材料としては、例えば、PS、BSG、BPSG、USG等を用いることができる。他の絶縁材料としては、どのような方法で形成されたものを用いてもよい。
第1ILD114と、エピタキシャルソース/ドレイン領域108、ゲートスペーサ98およびマスク96(存在する場合)またはダミーゲート94との間には、コンタクトエッチストップ層(CESL)112が形成される。CESL112は、第1ILD114のエッチングに対して高いエッチング選択比を有する窒化シリコン、酸化シリコン、酸窒化シリコン等の誘電体材料で形成することができる。CESL112は、CVD、ALD等の任意の方法により形成することができる。
図17A-図17Cでは、第1ILD114の上面がマスク96の上面(存在する場合)またはダミーゲート94となるように除去工程が行われる。また、化学的機械的研磨(CMP)、エッチバック、またはこれらの組み合わせなどの平坦化処理を利用してもよい。また、平坦化工程は、ダミーゲート94上のマスク96及びゲートスペーサ98の一部をマスク96の側壁に沿って除去してもよい。平坦化処理後、ゲートスペーサ98、第1ILD114、CESL112、およびマスク96(存在する場合)またはダミーゲート94の上面は、同一平面上(プロセスばらつき内)である。これにより、第1ILD114を介して、マスク96の上面(存在する場合)またはダミーゲート94が露出する。図示の実施形態では、マスク96が残存しており、平坦化処理によって、第1ILD114の上面とマスク96の上面とが平坦化されている。
図18A-図18Cでは、エッチング工程において、マスク96(存在する場合)及びダミーゲート94が除去され、凹部116が形成される。ダミーゲート94は、異方性ドライエッチングにより除去される。例えば、エッチング工程は、ダミーゲート94を第1ILD114やゲートスペーサ98よりも高速に選択的にエッチングする反応ガスを用いたドライエッチング工程を含んでいてもよい。凹部116は、チャネル領域68の一部を露出させている。チャネル領域68となるナノ構造体66の一部は、隣り合うエピタキシャルソース/ドレイン領域108の間に配置されている。
次に、ナノ構造体64の残りの部分を除去して凹部116を拡張し、ナノ構造体66の間の領域に開口部118を形成する。また、ダミーゲート76の残りの部分も除去されて凹部116が拡大され、半導体フィン62とハイブリッド用フィン82との間の領域に開口部120が形成される。ナノ構造体64及びダミーゲート76の残りの部分は、ナノ構造体66の材料よりも速い速度でナノ構造体64及びダミーゲート76の材料を選択的にエッチングする任意のエッチング処理により除去することができる。エッチングは等方性であってもよい。例えば、ナノオーダ構造体64及びダミーゲート76がシリコンゲルマニウムで形成され、ナノ構造体66がシリコンで形成されている場合、エッチング工程は、例えば、水酸化テトラメチルアンモニウム(TMAH)、水酸化アンモニウム(NHOH)等を用いたウェットエッチングであってもよい。また、マスク58(存在する場合)を除去してもよい。幾つかの実施形態では、ナノ構造体66の露出部分の厚さを薄くするために、図示しないトリム工程を行う。
ダミーゲート76は、裾引きが小さいため、STI領域72の上面に残存するダミーゲート76を残存させることなく、より容易に除去することができる。これにより、より多くの領域を交換ゲートに利用することができ、交換ゲートにおけるボイドの発生を回避することができ、装置の性能を向上させることができる。また、上述したように、ソース/ドレイン領域108は、ダミーゲート76の残渣が残らないように形成されている。これにより、凹部116を形成する際のエピタキシャルソース/ドレイン領域108の下のエッチングを回避することができ、エピタキシャルソース/ドレイン領域108を損傷させるおそれを低減することができる。
図19A~図19Cでは、凹部116内にゲート絶縁層124が形成されている。ゲート絶縁層124上には、ゲート電極層126が形成されている。ゲート絶縁層124およびゲート電極層126は、置換ゲート用の層であり、ナノ構造体66の全(例えば、4)辺の周囲を周回するように形成されている。これにより、開口部118及び開口部120内にゲート絶縁層124及びゲート電極層126が形成される(図18B参照)。
ゲート絶縁層124は、半導体フィン62の側壁及び/又は上面、ナノ構造体66の上面、側壁及び底面、ゲートスペーサ98及び内部スペーサ106の側壁、並びにハイブリッドフィン82の上面及び側壁に配置されている。ゲート絶縁層124は、第1ILD114およびゲートスペーサ98の上面に形成されていてもよい。ゲート絶縁層124は、酸化シリコンや金属酸化物などの酸化物、金属シリケートなどのシリケート、またはそれらの組み合わせ、またはそれらの多層などを含んでいてもよい。ゲート絶縁層124は、ハフニウム、アルミニウム、ジルコニウム、ランタン、マンガン、バリウム、チタン、鉛等の金属酸化物やシリケートのような高誘電体材料(例えば、K値が7.0より大きい誘電体材料)を含んでいてもよい。なお、図19A-図19Cでは、単層のゲート絶縁層124を示しているが、ゲート絶縁層124は、任意の数の界面層および任意の数の主層を含んでいてもよい。
ゲート電極層126は、例えば、窒化チタン、酸化チタン、タングステン、コバルト、ルテニウム、アルミニウム、これらの組み合わせ、またはこれらの多層などの金属含有材料を含んでいてもよい。なお、図19A~図19Cでは、単層のゲート電極層126を示しているが、ゲート電極層126は、任意の数の仕事関数調整層、任意の数のバリア層、任意の数の接着層、フィル材を含んでいてもよい。
なお、n型領域50Nとp型領域50Pとにおけるゲート絶縁層124の形成は、各領域のゲート絶縁層124が同一材料で形成されるように同時に発生し、各領域のゲート電極層126が同一材料で形成されるように同時に形成されてもよい。また、各領域のゲート絶縁層124は、異なる材料であってもよいし、異なる層で形成されていてもよいし、各領域のゲート電極層126が異なる材料で形成されていてもよいし、異なる層数で形成されていてもよい。マスクには、様々なマスキング工程を用いることができ、明確な工程を用いる場合には、適切な領域を露光することができる。
図20A-図20Cでは、第1ILD114およびゲートスペーサ98の上面を超えたゲート絶縁層124およびゲート電極層126の材料の余剰部分を除去する除去工程を行い、ゲート構造体130を形成する。また、化学的機械的研磨(CMP)、エッチバック、またはこれらの組み合わせなどの平坦化処理を利用してもよい。ゲート絶縁層124は、平坦化されると、凹部116に残された部分を有する(ゲート構造体130のためのゲート絶縁膜を形成する)。ゲート電極層126は、平坦化されると、凹部116に残された部分を有する(ゲート構造体130のためのゲート電極を形成する)。ゲートスペーサ98の上面と、CESL112と、第1ILD114と、ゲート構造体130とは、同一平面上(プロセスばらつき内)である。ゲート構造体130は、得られたナノ構造体トランジスタ/FETの交換ゲートであり、ナノ構造体66のチャネル領域68の上面、側壁、底面に沿って延在している。
ゲート構造体130の一部は、キャップゲート構造体130Cである。キャップゲート構造体130Cは、半導体フィン62の端部上および半導体フィン62間のSTI領域72上に配置された非機能構造である。キャップゲート構造体130Cは、図20Aの断面において、ハイブリッドフィン82と半導体フィン62との間に配置されている。
ゲート構造体130は、ナノ構造体64、ダミーゲート76及びダミーゲート94が予め占めていた領域を充填している。形成されたゲート構造体130は、ダミーゲート76と同じプロファイル形状を有する。次に、ゲート構造体130のプロファイル形状についてより詳細に説明する。
幾つかの実施形態では、素子分離領域132は、一部のゲート構造体130を貫通するように形成されている。素子分離領域132は、ゲート構造体130を複数のゲート構造体130に分割(切断)するために形成されている。素子分離領域132は、例えば、窒化シリコン、酸化シリコン、酸窒化シリコン等の誘電体からなり、CVD、ALD等の成膜法により形成することができる。素子分離領域132を形成する一例として、所望のゲート構造体130に開口をパターニングすることができる。開口部をパターニングするために、ドライエッチング、ウェットエッチング等のエッチングを行ってもよいし、これらの組み合わせを用いてもよい。エッチングは、異方性であってもよい。開口部には、1層以上の誘電体材料が堆積されていてもよい。なお、ゲート構造体130の上面に余剰部分が形成された誘電体材料の余剰部分を除去する除去工程を行って素子分離領域132を形成してもよい。
図21A-図21Cにおいて、ゲートスペーサ98、CESL112、第1ILD114およびゲート構造体130の上には、第2ILD136が堆積されている。第2ILD136は、流動性のあるCVD法により形成された流動性膜である。第2ILD136は、PSG、BSG、BPSG、USG等の誘電体材料で形成され、CVD、PECVD等の任意の方法で成膜することができる。
第2ILD136とゲートスペーサ98、CESL112、第1ILD114およびゲート構造体130との間には、エッチストップ層(ESL)134が形成されている。ESL134は、第2ILD136のエッチングに対して高いエッチング選択比を有する窒化シリコン、酸化シリコン、酸窒化シリコン等の誘電体材料を含んでいてもよい。
図22A-図22Cでは、ゲート構造体130およびエピタキシャルソース/ドレイン領域108にそれぞれゲートコンタクト142およびソース/ドレインコンタクト144が接して形成されている。ゲートコンタクト142は、ゲート構造体130と物理的かつ電気的に接続されている。ソース/ドレイン領域108には、ソース/ドレインコンタクト144が物理的かつ電気的に接続されている。
ゲートコンタクト142及びソース/ドレインコンタクト144を形成する一例として、第2ILD136及びESL134を介してゲートコンタクト142用の開口が形成され、第2ILD136、ESL134、第1ILD114及びCESL112を介してソース/ドレインコンタクト144用の開口が形成されている。開口部は、許容されるフォトリソグラフィ技術およびエッチング技術を用いて形成することができる。この開口部には、拡散防止層、接着層等のライナー(図示せず)と、導電性材料とが形成されている。ライナーとしては、チタン、窒化チタン、タンタル、窒化タンタル等を挙げることができる。導電性材料としては、銅、銅合金、銀、金、タングステン、コバルト、アルミニウム、ニッケル等が挙げられる。第2ILD136の表面から余分な材料を除去するために、CMPなどの平坦化処理を行ってもよい。残りのライナー及び導電性材料は、開口内のゲートコンタクト142及びソース/ドレインコンタクト144を形成する。ゲートコンタクト142とソース/ドレインコンタクト144とは、別々の工程で形成されていてもよいし、同じ工程で形成されていてもよい。なお、ゲートコンタクト142とソース/ドレインコンタクト144とは、同一断面に形成されているが、異なる断面で形成されていてもよいし、コンタクトの短絡を回避してもよい。
ゲートコンタクト142及びソース/ドレインコンタクト144を形成する一例として、第2ILD136及びESL134を介してゲートコンタクト142用の開口が形成され、第2ILD136、ESL134、第1ILD114及びCESL112を介してソース/ドレインコンタクト144用の開口が形成されている。開口部は、許容されるフォトリソグラフィ技術およびエッチング技術を用いて形成することができる。この開口部には、拡散防止層、接着層等のライナー(図示せず)と、導電性材料とが形成されている。ライナーとしては、チタン、窒化チタン、タンタル、窒化タンタル等を挙げることができる。導電性材料としては、銅、銅合金、銀、金、タングステン、コバルト、アルミニウム、ニッケル等が挙げられる。第2ILD136の表面から余分な材料を除去するために、CMPなどの平坦化処理を行ってもよい。残りのライナー及び導電性材料は、開口内のゲートコンタクト142及びソース/ドレインコンタクト144を形成する。ゲートコンタクト142とソース/ドレインコンタクト144とは、別々の工程で形成されていてもよいし、同じ工程で形成されていてもよい。なお、ゲートコンタクト142とソース/ドレインコンタクト144とは、同一断面に形成されているが、異なる断面で形成されていてもよいし、コンタクトの短絡を回避してもよい。
図23A-図23Cは、種々の実施形態に係るナノ構造体トランジスタ/FETを示す図である。図23A、図23B、図23Cは、それぞれ、図9A、図9Bおよび図9Cの実施形態における置換ゲート処理後のゲート構造体130を示している。上述したように、ゲート構造体130は、ダミーゲート76と同じプロファイル形状を有している。具体的には、STI領域72の上面のゲート構造体130の部分は、STI領域72の凹面に沿って外側にフレアしない。
図23Aの実施形態では、置換ゲート工程の後、ゲート構造体130の底面がナノ構造体66から第1の距離D離れており、ゲート構造体130の外側の側壁がナノ構造体66から第2の距離Dだけ離れており、第1の距離Dは第2の距離Dである。また、ハイブリッドフィン82は、半導体フィン62から第1距離Dだけ離間して配置され、ナノ構造体66から第2距離Dだけ離間して配置されている。本実施形態では、ゲート構造体130の下部の側壁は一定の幅を隔てて形成されている。距離D及び距離Dは、それぞれ0.5nm~30nmの範囲とすることができる。
図23Bの実施形態では、置換ゲート工程の後、ゲート構造体130の底面がナノ構造体66から第1の距離Dだけ離間し、ゲート構造体130の外側の側壁がナノ構造体66から第2の距離Dだけ離間し、第1の距離Dが第2の距離D未満である。また、ハイブリッドフィン82は、半導体フィン62から第1距離Dだけ離間して配置され、ナノ構造体66から第2距離Dだけ離間して配置されている。本実施形態では、ゲート構造体130の下部の側壁は、ゲート構造体130の頂部からゲート構造体130の底部に向かう方向に直線的に減少する距離だけ離間している。距離D及び距離Dは、それぞれ0.5nm~30nmの範囲とすることができる。
図23Cの実施形態では、置換ゲート工程の後、ゲート構造体130の底面がナノ構造体66から第1の距離Dだけ離間し、ゲート構造体130の外側の側壁がナノ構造体66から第2の距離Dだけ離間し、第1の距離Dが第2の距離D未満である。また、ハイブリッドフィン82は、半導体フィン62から第1距離Dだけ離間して配置され、ナノ構造体66から第2距離dだけ離間して配置されている。本実施形態では、ゲート構造体130の下部の側壁は、ゲート構造体130の頂部からゲート構造体130の底部に向かう方向に非線形に減少した後、その方向に非線形に増加する距離だけ離間している。ゲート構造体130の側壁には、側壁凹部130Rが形成されている。ハイブリッド用フィン82は、ゲート構造体130の側壁凹部130R内に延在している。側壁凹部130Rの底部には、ナノ構造体66から第3の距離Dが配置されており、第3の距離Dは、第2の距離D及び第1の距離Dよりも小さい。距離D、距離D、距離Dは、それぞれ0.5nm~30nmの範囲とすることができる。
図24A~図24Cは、種々の実施形態に係るナノ構造体トランジスタ/FETを示す図である。これらの実施形態は、保護層80が除去されていない点を除き、それぞれ、図23A-図23Cの実施形態と同様であり、最終的な装置に残存している。保護層80は、ゲート構造体130の側壁のうちナノ構造体66に隣接する部分に沿って延在している。これらの実施形態では、保護層80の幅は一定である。保護層80は、ゲート構造体130の側壁の上部に接している。保護層80の高さHは、1nm~300nmであり、幅Wは、0.3nm~15nmである。保護層80に覆われていないゲート構造体130の側壁の下部は、0nm以上300nm以下の高さHを有することができる。
図25A~図25Cは、種々の実施形態に係るナノ構造体トランジスタ/FETを示す図である。これらの実施形態は、保護層80の頂部から保護層80の底部に向かう方向において、保護層80の幅が狭くなるように形成されていること以外は、図24A-図24Cの実施形態と同様である。
図26A~図26Cは、図23A~図23Cの領域50Rの詳細図である。図26Aを参照して、ゲート構造体130の下部の側壁は、基板50の主面に平行な面に対して略垂直である。例えば、ゲート構造体130の側壁とSTI領域72の上面との間の角度θ11は、80度から100度の範囲とすることができる。図26Bを参照して、ゲート構造体130の下部の側壁は、基板50の主面に平行な面に対して鋭角をなしている。例えば、ゲート構造体130の側壁とSTI領域72の上面との間の角度θは、30度以上85度以下の範囲とすることができる。図26Cを参照して、側壁凹部130Rを構成するゲート構造体130の表面は、いくつかの角度を形成している。具体的には、側壁凹部130Rを構成するゲート構造体130の表面は、STI領域72の上面と角度θをなし、基板50の主面に対して平行な面を有する角度θを形成している。角度θ及び角度θは、それぞれ95度~150度の範囲とすることができる。
図27A-図27Dは、他の実施形態に係るフッティングプロファイルの小さいダミーゲート76のパターニングにおける中間段階の図である。ダミーゲート76は、図7A-図9Cで説明したのと同様に、ダミーゲート層74を複数のエッチング工程でパターニングすることにより、裾引きが小さく形成されている。本実施形態では、異なる種類の保護層80が用いられている。具体的には、保護層80は、パッシベーション層80Pである。パッシベーション層80Pは、ダミーゲート層74をパターニングする前または後に、別工程で形成してもよい。パッシベーション層80Pは、副生成層80Bよりも膜厚が均一に形成されていることが好ましい。また、ダミーゲート76を初期パターニングするための第1エッチング工程から、パッシベーションガスを省略してもよい。
パッシベーション層80Pは、表面改質処理または成膜処理により形成されてもよい。一般に、表面改質処理は、ダミーゲート76のダメージを低減するための制御が容易であり、形成されるパッシベーション膜80Pの膜厚の制御が容易である。表面改質処理は、プラズマ改質処理、化学修飾処理等であってもよい。パッシベーション層80Pの厚さは、2Å以上150Å以下であることが好ましい。
プラズマ改質処理を用いる実施形態において、パッシベーション層80Pを形成する工程は、プラズマを発生させながら、構造体をパッシベーション用のガスに曝すことを含んでいてもよい。パッシベーションガスとしては、CH、SiCl、N、O、CO、SO、CO等を用いることができる。また、Ar、He、Ne等の希ガスやこれらの組み合わせを利用してもよい。プラズマ改質処理の処理条件としては、例えば、1mTorr~10Torrの範囲の圧力、10W~3000Wの範囲のプラズマ源のパワー(ラジカルに対するイオンの比率を制御する)、0~3000Wの範囲のプラズマのバイアスパワー(プラズマの方向を制御する)、1sccm~5000sccmの範囲のガスのソースの流量等が挙げられる。プラズマ改質処理によって形成されるパッシベーション層80 Pの組成は、使用されるパッシベーションガスに依存する。また、ダミーゲート層74がシリコン又はシリコンゲルマニウムで形成されている場合、パッシベーション層80Pは、酸素系パッシベーションガス(例えば、O、CO、SO、CO等)を用いた場合には、SiO又はSiGeOから形成され、パッシベーション層80 Pは、窒素系のパッシベーションガス(例えば、N等)を用いた場合に形成されたSiN又はSiGeNから形成され、パッシベーション層80Pは、硫黄系パッシベーションガス(例えば、SO等)を用いた場合に形成されたSiS又はSiGeSから形成されていてもよい。プラズマ改質処理には、複数の保護ガスを利用してもよい。例えば、酸素系パッシベーションガス、窒素系パッシベーションガス、硫黄系パッシベーションガス(例えば、SO、N)の混合物をプラズマ改質処理に利用し、パッシベーション層80PをSiGeSで形成してもよい。
化学修飾処理を用いた実施形態では、パッシベーション層80Pを形成する工程は、プラズマを発生させずに、構造体をパッシベーション溶液にさらす工程を含んでいてもよい。パッシベーション膜は、主パッシベーション膜および補助パッシベーション膜を溶媒中に含んでいてもよい。主パッシベーション膜は、O、CO等であってもよい。アシストパッシベーション薬液は、HSO、NH等であってもよい。溶媒としては、例えば、脱イオン(DI)水、アルコール、アセトン等が挙げられる。
パッシベーション層80Pの形成には、堆積法を用いた実施形態において、PECVD、CVD、ALD、PVD、誘電体材料の堆積に適した成長法を用いることができる。パッシベーション層80Pは、SiN、SiON、SiCON、SiC、SiOC、SiO等の誘電体材料で形成することができる。
図27Aに示すように、ダミーゲート層74をパターニングする前に、ダミーゲート層74上にパッシベーション層80Pを形成する。パッシベーション層80Pを形成した後、ダミーゲート層74とパッシベーション層80Pとを同時にパターニングして、裾引きの小さいダミーゲート76を形成してもよい。例えば、ダミーゲート層74及びパッシベーション層80Pの両方をエッチングするエッチング工程を実施することができる。エッチング処理は、基板50の主面と略平行な横方向にエッチングするようにプラズマバイアス電力を調整することにより制御することができる。ダミーゲート層74の下部を露出させるエッチング工程により、パッシベーション層80Pの一部を除去し、エッチング工程の横方向にダミーゲート層74をエッチングして、フッティングプロファイルの小さいダミーゲート76を形成してもよい。幾つかの実施形態では、ダミーゲート76の一部は、マスク58(存在する場合はマスク58)とナノ構造体64、66とに跨って延びていてもよい。ダミーゲート76の部分は、後の工程、例えば、マスク58上(存在する場合)及びナノ構造体64、66上のハイブリッドフィン層78の部分を除去する除去工程(図11A-図11C参照)で除去されてもよい。
なお、本実施形態では、ダミーゲート層74をパターニングした後、ダミーゲート76をトリミングする前に、パッシベーション層80Pを形成することにより、図27Bに示すように、STI領域72を覆うようにしている。パッシベーション層80Pを形成した後、ダミーゲート76をパッシベーション層80Pで覆った状態でトリミングし、裾引きの小さなダミーゲート76を形成して、図8Cの構造を得るようにしてもよい。例えば、ダミーゲート76及びパッシベーション層80Pの両方をエッチングするエッチング工程を行うことができる。エッチング処理は、基板50の主面と略平行な横方向にエッチングするようにプラズマバイアス電力を調整することにより制御することができる。このエッチング工程により、パッシベーション層80Pの一部が除去され(図7Bの構造が形成され)、エッチング工程の横方向がダミーゲート76の下部をエッチングして、フッティングプロファイルの小さいダミーゲート76が形成される(図8Cの構造が形成される)。
なお、本実施形態では、ダミーゲート層74をパターニングした後、ダミーゲート76をトリミングする前に、パッシベーション層80Pを形成することにより、図27Cおよび図27Dに示すように、STI領域72を露出させている。なお、表面改質処理によりパッシベーション層80Pを形成する場合には、図27Cに示すように、STI領域72上に形成されることなく、マスク58上(存在する場合)およびダミーゲート76上に選択的にパッシベーション層80Pを形成するようにしてもよい。パッシベーション層80Pを堆積法により形成する場合には、図27Dに示すように、マスク58(本実施形態)上、ダミーゲート76上、STI領域72上に、パッシベーション層80Pをそれぞれ形成してもよい。パッシベーション層80Pを形成した後、ダミーゲート76をパッシベーション層80Pで覆った状態でトリミングし、裾引きの小さいダミーゲート76を形成して、図8Aまたは図8Bの構造を得ることができる。例えば、ダミーゲート76及びパッシベーション層80Pの両方をエッチングするエッチング工程を行うことができる。エッチング処理は、基板50の主面に平行な面に対して鋭角をなす斜め方向にエッチングするようにプラズマバイアス電力を調整することにより制御することができる。エッチング工程の斜め方向は、ダミーゲート76の下部のパッシベーション層80Pを介してエッチングされ(図7Aの構造が形成され)、その後、ダミーゲート76の下部がエッチングされて、フッティングプロファイルの小さいダミーゲート76が形成される(図8Aまたは図8Bの構造が形成される)。
上述したように、基板50は、疎な領域と密な領域とを有していてもよい。このような実施形態では、パッシベーション層80Pの厚さを異なる領域で異ならせることができる。その結果、異なる裾引きプロファイルを有するダミーゲート76を同一の初期構造から形成することができる。例えば、図27Aの構造は、密な領域と疎の領域とに形成されていてもよい。これらの構造上にパッシベーション層80Pを形成した後、ダミーゲート76をパターニングすることにより、図8Aまたは図8Bの構造で密領域となり、図8Cの構造が疎領域となる。
以上説明した実施形態によれば、以下の効果を得ることができる。フッティングプロファイルが小さいダミーゲート76を形成することにより、以降の工程、例えば、ソース/ドレイン領域の置換ゲート工程及び/又はエピタキシャル成長工程のための加工窓を広げることができる。具体的には、ダミーゲート76の残渣がエピタキシャルソース/ドレイン領域108の下に残存せず、置換ゲート工程においてダミーゲート76を除去する際のエピタキシャルソース/ドレイン領域108へのダメージを回避することができる。また、置換ゲート工程において裾引きの小さなダミーゲート76を容易に除去することができ、置換ゲートにおけるボイドの発生を回避することができ、デバイス性能を向上させることができる。
一実施形態では、素子分離領域と、前記素子分離領域の上面よりも上方に突出したナノ構造体と、前記ナノ構造体に巻き付けられたゲート構造体と、前記ゲート構造体の底面が前記ナノ構造体から第1の距離だけ離間して配置され、前記ゲート構造体の底面が前記ナノ構造体から第2の距離を隔てて配置されたゲート構造体と、前記ゲート構造体の側壁に形成されたハイブリッドフィンと、を備える。好ましくは、第1の距離は、第2の距離未満である。本発明の好ましい実施の形態においては、前記ハイブリッドフィンは、前記ゲート構造体の側壁凹部内に延在している。本発明の好ましい実施の形態においては、前記第1の距離は、前記第2の距離に等しい。本発明の好ましい実施の形態においては、上記第1の距離および上記第2の距離は、それぞれ0.5nm~30nmの範囲である。幾つかの実施形態では、ハイブリッドフィンとゲート構造体との間に配置され、ゲート構造体の側壁の上部を覆う保護層と、保護層によって覆われていないゲート構造体の側壁の下部と、をさらに備える。
一実施形態では、素子分離領域と、前記素子分離領域の上面上に突出した半導体フィンと、前記半導体フィン上にナノ構造体を有するナノ構造体と、前記ナノ構造体に巻回されたゲート構造体と、前記ゲート構造体の側壁に配置され、前記半導体フィンから第1の距離をおいて配置され、前記ナノ構造体から第2の距離を隔てて配置され、前記第1の距離よりも大きい第2の距離を有するハイブリッドフィンと、を備える。幾つかの実施形態では、前記ハイブリッドフィンと前記ゲート構造体との間に、前記ゲート構造体の前記ナノ構造体に隣接する部分に沿って延在する保護層をさらに備える。デバイスの幾つかの実施形態において、保護層は、SiGeSを含む。本発明の好ましい実施の形態においては、上記保護層は、誘電体材料を含む。本発明の好ましい実施の形態においては、前記ハイブリッドフィンの一部は、前記ゲート構造体の側壁凹部に延在し、前記ハイブリッドフィンの前記半導体フィンから第3の距離を隔てて配置された部分は、前記第1の距離および前記第2の距離よりも小さい。
実施形態においては、素子分離領域上にダミーゲート層を形成する工程と、素子分離領域の上面上に突出した第1ナノ構造体及び第2ナノ構造体を交互に形成する工程と、ダミーゲート層をパターニングして、第1ナノ構造体の側壁、第2ナノ構造体の側壁及び素子分離領域の上面にダミーゲートを形成する工程と、ダミーゲートの上部に保護層を形成する工程と、保護層がダミーゲートの上部を覆う状態で、ダミーゲートの下部をトリミングする工程と、ダミーゲート及び第1ナノ構造体を金属ゲートに置換する工程と、を備え、金属ゲートは、第2ナノ構造体に巻かれている。本発明の好ましい態様は、前記保護層は、前記ダミーゲート層をパターニングする際に形成される副生成層であり、前記ダミーゲート層をパターニングする工程は、前記ダミーゲート層を、メインエッチングガスおよびパッシベーションガスを含むガスソースでエッチングする工程を含む。好ましくは、前記ダミーゲート層および前記第1ナノ構造体は、シリコンまたはシリコンゲルマニウムを含み、前記パッシベーションガスは、酸素系パッシベーションガス、窒素系パッシベーションガスおよび硫黄系パッシベーションガスの混合物である。本発明の好ましい態様は、前記保護層は、前記ダミーゲート層をパターニングした後に形成されたパッシベーション層であり、前記保護層を形成する工程は、プラズマを発生させながら前記ダミーゲートをパッシベーションガスに晒すことを含む。本発明の好ましい態様は、前記保護層は、前記ダミーゲート層をパターニングした後に形成されたパッシベーション層であり、前記保護層を形成する工程は、プラズマを発生させることなく、前記ダミーゲートをパッシベーション溶液に露出させる工程を含む。本発明の好ましい態様は、前記保護層は、前記ダミーゲート層をパターニングした後に形成されたパッシベーション層であり、前記保護層を形成する工程は、前記ダミーゲート上に誘電体材料を堆積する工程を含む。本発明の好ましい実施の形態においては、前記ダミーゲートは、側壁を有し、前記素子分離領域に接する底面を有し、前記ダミーゲートの側壁は、前記第2のナノ構造体から第1の距離を隔てて配置され、前記ダミーゲートの下部をトリミングする工程は、前記ダミーゲートの底面が前記第2のナノ構造体から前記第1の距離よりも小さい第2の距離だけ離間するまで前記ダミーゲートの下部をエッチングする工程を含む。本発明の好ましい実施の形態においては、前記ダミーゲートは、側壁を有し、前記素子分離領域に接する底面を有し、前記ダミーゲートの側壁は、前記第2のナノ構造体から第1の距離を隔てて配置され、前記ダミーゲートの下部をトリミングする工程は、前記ダミーゲートの底面が前記第2のナノ構造体から前記第1の距離と同じ第2の距離だけ離間するまで前記ダミーゲートの下部をエッチングする工程を含む。また、前記ダミーゲートの下部をエッチングする工程は、前記ダミーゲートの下部に側壁凹部を形成することを特徴とする。
以上、いくつかの実施形態の概要について説明したが、当業者であれば、本開示の態様をより好適に理解することができる。当業者であれば、本明細書に記載された実施形態と同様の目的を達成するために、他のプロセスや構造を設計、変更すること、および/または同一の効果を達成することは容易であることを理解されるべきである。当業者であれば、これらと均等な構成については、本発明の趣旨及び範囲から逸脱することなく、本発明の趣旨及び範囲から逸脱することなく、種々の変更、置換及び変更を加えることが可能であることを認識すべきである。

Claims (20)

  1. 分離領域と、
    前記分離領域の上面の上に突出しているナノ構造体と、
    前記ナノ構造体に巻き囲まれ、前記分離領域に接して前記ナノ構造体から第1の距離を離れて延在している底面と、前記ナノ構造体から前記第1の距離以上の第2の距離を離れて配置された側壁と、を有するゲート構造体と、
    前記ゲート構造体の前記側壁上に配置されたハイブリッドフィンと、を備える、装置。
  2. 前記第1の距離は前記第2の距離より短い、
    ことを特徴とする請求項1に記載の装置。
  3. 前記ハイブリッドフィンは、前記ゲート構造体の側壁凹部内に延在している、
    ことを特徴とする請求項2に記載の装置。
  4. 前記第1の距離は前記第2の距離と等しい、
    ことを特徴とする請求項1に記載の装置。
  5. 前記第1の距離及び前記第2の距離は、それぞれ0.5nmから30nmまでの範囲内にある、
    ことを特徴とする請求項1に記載の装置。
  6. 前記ハイブリッドフィンと前記ゲート構造体との間に配置され、前記ゲート構造体の前記側壁の上部を覆って、前記ゲート構造体の前記側壁の下部を覆っていない保護層をさらに備える、
    ことを特徴とする請求項1に記載の装置。
  7. 分離領域と、
    前記分離領域の上面の上に突出している半導体フィンと、
    前記半導体フィン上に配置されたナノ構造体と、
    前記ナノ構造体に巻き囲まれたゲート構造体と、
    前記ゲート構造体の側壁に配置され、前記半導体フィンから第1の距離を離れて配置され、前記ナノ構造体から前記第1の距離より長い第2の距離を離れて配置されたハイブリッドフィンと、を備える、装置。
  8. 前記ハイブリッドフィンと前記ゲート構造体との間に配置され、前記ゲート構造体の、前記ナノ構造体に隣接する前記側壁の部分に沿って延在している保護層をさらに備える、
    ことを特徴とする請求項7に記載の装置。
  9. 前記保護層はSiGeSを含む、
    ことを特徴とする請求項8に記載の装置。
  10. 前記保護層は誘電体材料を含む、
    ことを特徴とする請求項8に記載の装置。
  11. 前記ハイブリッドフィンの一部が前記ゲート構造体の側壁凹部内に延在し、前記ハイブリッドフィンの前記一部が前記半導体フィンから第3の距離を離れて配置され、前記第3の距離が前記第1の距離及び前記第2の距離より短い、
    ことを特徴とする請求項7に記載の装置。
  12. 分離領域上に配置され、前記分離領域の上面の上に突出している第1のナノ構造体及び第2のナノ構造体を交互に配置しているダミーゲート層を堆積するステップと、
    前記ダミーゲート層をパターニングして、前記第1のナノ構造体の側壁、前記第2のナノ構造体の側壁及び前記分離領域の上面にダミーゲートを形成するステップと、
    前記ダミーゲートの上部に保護層を形成するステップと、
    前記保護層が前記ダミーゲートの上部を覆う際に前記ダミーゲートの下部をトリミングするステップと、
    前記ダミーゲート及び前記第1のナノ構造体を前記第2のナノ構造体に巻き囲まれた金属ゲートに置換するステップと、を含む、方法。
  13. 前記保護層は、前記ダミーゲート層をパターニングする間に形成された副生成層であり、前記ダミーゲート層をパターニングすることは、メインエッチングガスとパッシベーションガスを含むガスソースにより前記ダミーゲート層をエッチングすることを含む、
    ことを特徴とする請求項12に記載の方法。
  14. 前記ダミーゲート層および前記第1ナノ構造体はシリコンまたはシリコンゲルマニウムを含み、前記パッシベーションガスは、酸素系パッシベーションガス、窒素系パッシベーションガスおよび硫黄系パッシベーションガスの混合物である、
    ことを特徴とする請求項13に記載の方法。
  15. 前記保護層は、前記ダミーゲート層をパターニングした後に形成されたパッシベーション層であり、前記保護層を形成することは、プラズマを生成する際に前記ダミーゲートをパッシベーションガスにさらすことを含む、
    ことを特徴とする請求項12に記載の方法。
  16. 前記保護層は、前記ダミーゲート層をパターニングした後に形成されたパッシベーション層であり、前記保護層を形成することは、プラズマを生成せずに前記ダミーゲートをパッシベーション溶液にさらすことを含む、
    ことを特徴とする請求項12に記載の方法。
  17. 前記保護層は、前記ダミーゲート層をパターニングした後に形成されたパッシベーション層であり、前記保護層を形成することは、前記ダミーゲート上に誘電体材料を堆積することを含む、
    ことを特徴とする請求項12に記載の方法。
  18. 前記ダミーゲートは、前記第2のナノ構造体から第1の距離を離れて配置された側壁と、前記分離領域に接する底面とを有し、
    前記ダミーゲートの下部をトリミングすることは、前記ダミーゲートの底面が前記第2のナノ構造体から前記第1の距離より短い第2の距離を離れて延在するまで、前記ダミーゲートの前記下部をエッチングすることを含む、
    ことを特徴とする請求項12に記載の方法。
  19. 前記ダミーゲートは、前記第2のナノ構造体から第1の距離を離れて配置された側壁と、前記分離領域に接する底面とを有し、
    前記ダミーゲートの下部をトリミングすることは、前記ダミーゲートの底面が前記第2のナノ構造体から前記第1の距離と等しい第2の距離を離れて延在するまで、前記ダミーゲートの前記下部をエッチングすることを含む、
    ことを特徴とする請求項12に記載の方法。
  20. 前記ダミーゲートの前記下部をエッチングすることにより、前記ダミーゲートの前記下部に側壁凹部を形成する、
    ことを特徴とする請求項12に記載の方法。
JP2021126131A 2020-07-31 2021-07-30 トランジスタゲート構造体及び形成方法 Pending JP2022027740A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063059710P 2020-07-31 2020-07-31
US63/059,710 2020-07-31
US17/336,599 2021-06-02
US17/336,599 US20220037498A1 (en) 2020-07-31 2021-06-02 Transistor Gate Structures and Methods of Forming the Same

Publications (1)

Publication Number Publication Date
JP2022027740A true JP2022027740A (ja) 2022-02-14

Family

ID=77155652

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021126131A Pending JP2022027740A (ja) 2020-07-31 2021-07-30 トランジスタゲート構造体及び形成方法

Country Status (7)

Country Link
US (2) US20220037498A1 (ja)
EP (1) EP3945593A1 (ja)
JP (1) JP2022027740A (ja)
KR (1) KR102610580B1 (ja)
CN (1) CN113690305A (ja)
DE (1) DE102021116181A1 (ja)
TW (1) TWI780835B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024034023A1 (ja) * 2022-08-09 2024-02-15 株式会社日立ハイテク 半導体装置の製造方法及びプラズマ処理方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11211381B2 (en) 2019-01-29 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10825918B2 (en) * 2019-01-29 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10483378B2 (en) * 2017-08-31 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial features confined by dielectric fins and spacers
KR102465537B1 (ko) * 2017-10-18 2022-11-11 삼성전자주식회사 반도체 장치
US10468527B2 (en) * 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods of fabricating thereof
US10535733B2 (en) * 2018-01-11 2020-01-14 International Business Machines Corporation Method of forming a nanosheet transistor
US10971585B2 (en) * 2018-05-03 2021-04-06 International Business Machines Corporation Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates
US10872825B2 (en) * 2018-07-02 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10510620B1 (en) * 2018-07-27 2019-12-17 GlobalFoundries, Inc. Work function metal patterning for N-P space between active nanostructures
US11355608B2 (en) * 2018-09-24 2022-06-07 Intel Corporation Self-aligned gate endcap (SAGE) architectures with gate-all-around devices having epitaxial source or drain structures
US11538806B2 (en) * 2018-09-27 2022-12-27 Intel Corporation Gate-all-around integrated circuit structures having high mobility
US10923474B2 (en) * 2018-09-28 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having gate-all-around devices
US10825918B2 (en) * 2019-01-29 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11380781B2 (en) * 2019-12-17 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Contact and via structures for semiconductor devices
US11637101B2 (en) * 2020-05-26 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11329163B2 (en) * 2020-07-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024034023A1 (ja) * 2022-08-09 2024-02-15 株式会社日立ハイテク 半導体装置の製造方法及びプラズマ処理方法

Also Published As

Publication number Publication date
CN113690305A (zh) 2021-11-23
TW202207367A (zh) 2022-02-16
US20220037498A1 (en) 2022-02-03
KR102610580B1 (ko) 2023-12-05
TWI780835B (zh) 2022-10-11
EP3945593A1 (en) 2022-02-02
US20230411483A1 (en) 2023-12-21
DE102021116181A1 (de) 2022-02-03
KR20220015968A (ko) 2022-02-08

Similar Documents

Publication Publication Date Title
JP2022027740A (ja) トランジスタゲート構造体及び形成方法
US20220367198A1 (en) Semiconductor Device and Method
US11764222B2 (en) Method of forming a dummy fin between first and second semiconductor fins
US11437287B2 (en) Transistor gates and methods of forming thereof
TW202129840A (zh) 半導體裝置及其形成方法
US11961919B2 (en) Nanostructure field-effect transistor device and method of forming
US20240153827A1 (en) Transistor Gates and Methods of Forming Thereof
TWI807431B (zh) 半導體結構及其製造方法
US20220052173A1 (en) Semiconductor Device and Method
TW202133271A (zh) 半導體裝置
TW202125708A (zh) 半導體裝置的製造方法
US20220384617A1 (en) Semiconductor Device and Method
US20220262649A1 (en) Semiconductor Device and Method
US11637180B2 (en) Transistor gate structures and methods of forming the same
US11769821B2 (en) Semiconductor device having a corner spacer
TWI838669B (zh) 半導體裝置及其形成方法
TWI792904B (zh) 電晶體元件中之閘極結構之形成方法
TWI808733B (zh) 半導體裝置及其形成方法
US11855185B2 (en) Multilayer masking layer and method of forming same
US20230131688A1 (en) Nanosheet channel formation method and structure
US11652155B2 (en) Air spacer and method of forming same
US20230115634A1 (en) Transistor Gate Structures and Methods of Forming the Same
US11557518B2 (en) Gapfill structure and manufacturing methods thereof
TW202335289A (zh) 半導體元件及其形成方法
TW202339002A (zh) 半導體裝置及其形成方法