TW201918578A - 形成氧化矽層的方法 - Google Patents

形成氧化矽層的方法 Download PDF

Info

Publication number
TW201918578A
TW201918578A TW107134229A TW107134229A TW201918578A TW 201918578 A TW201918578 A TW 201918578A TW 107134229 A TW107134229 A TW 107134229A TW 107134229 A TW107134229 A TW 107134229A TW 201918578 A TW201918578 A TW 201918578A
Authority
TW
Taiwan
Prior art keywords
silicon oxide
oxide layer
oxygen
water
containing precursor
Prior art date
Application number
TW107134229A
Other languages
English (en)
Inventor
彭羽筠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201918578A publication Critical patent/TW201918578A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3178Coating or filling in grooves made in the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Element Separation (AREA)

Abstract

揭露了一種形成氧化矽層及半導體結構的方法。所述形成氧化矽層的方法包括以下步驟。提供含矽前體、含氧前體以及氧自由基,以形成含有水的氧化矽層。對所述氧化矽層執行熱製程以使所述水擴散入所述氧化矽層中並利用所述水作為氧化劑來氧化所述氧化矽層。

Description

形成氧化矽層的方法
本發明實施例是有關於一種形成氧化矽層的方法。
一般來說,使用淺溝渠隔離(shallow trench isolation,STI)將半導體晶圓上的主動區域彼此分離並隔離。目前通過以下方法形成該些淺溝渠隔離:對溝渠(有時被稱為間隙)進行蝕刻,利用例如氧化物等介電質對所述溝渠進行過填充,然後利用例如化學機械研磨(chemical mechanical polishing,CMP)或蝕刻等製程移除任何過量的介電質以移除位於所述溝渠外部的介電質。此介電質有助於使主動區域彼此電隔離。
然而,隨著電路密度持續增大,該些間隙的寬度減小,從而增大了間隙高寬比,所述間隙高寬比通常被定義為間隙高度除以間隙寬度。因此,十分難以利用間隙填充介電材料完全地填充該些窄且深的間隙。不完全的填充會導致在間隙填充介電材料中產生不期望的空隙以及不連續性以及包含不期望的材料。該些空隙以及包含不期望材料導致在主動區域之間不充分的隔離。隔離不充分的裝置的電效能不良且裝置良率降低。
本發明實施例的一種形成氧化矽層的方法包括以下步驟。提供含矽前體、含氧前體以及氧自由基以形成含有水的氧化矽層。對所述氧化矽層執行熱製程以使所述水擴散入所述氧化矽層中並利用所述水作為氧化劑來氧化所述氧化矽層。
以下公開內容提供用於實施所提供主題的不同特徵的許多不同的實施例或實例。以下闡述元件及排列的具體實例以簡化本發明內容。當然,這些僅為實例且不旨在進行限制。舉例來說,以下說明中將第二特徵形成在第一特徵“上方”或第二特徵“上”可包括其中第二特徵及第一特徵被形成為直接接觸的實施例,且也可包括其中第二特徵與第一特徵之間可形成有附加特徵、進而使得所述第二特徵與所述第一特徵可能不直接接觸的實施例。另外,本發明內容可能在各種實例中重複使用參考編號及/或字母。這種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
另外,在本文中為便於說明,可使用例如“在…下面(beneath)”、“在…之下(below)”、“下方的(lower)”、“在…上(on)”、“在…上方(over)”、“位於…上方(overlying)”、“在…之上(above)”、“上方的(upper)”等空間相對關係用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對關係用語旨在除圖中所繪示的取向以外還包括裝置在使用或操作中的不同取向。所述設備可具有其他取向(旋轉90度或其他取向),且本文中所用的空間相對關係描述語可同樣相應地進行解釋。
鰭可通過任何適當的方法被圖案化。舉例來說,鰭可利用包括雙重圖案化或多重圖案化製程的一個或多個微影製程而被圖案化。一般來說,雙重圖案化或多重圖案化製程將微影製程與自對準製程相組合,從而使得能夠生成具有例如小於利用單一、直接微影製程所能獲得的圖案的節距的圖案。舉例來說,在一個實施例中,在基底之上形成犧牲層並利用微影製程將所述犧牲層圖案化。利用自對準製程在經圖案化的犧牲層旁邊形成間隔件。然後移除所述犧牲層,且然後可使用剩餘的間隔件來對鰭進行圖案化。
圖1是根據本發明的一些實施例,一種形成氧化矽層的方法的流程圖。參照圖1,在步驟S10處,向處理區提供含矽前體以及氧自由基以在沉積室中沉積可流動的氧化矽層。在一些實施例中,處理區是基底或晶圓。在一些實施例中,含矽前體是Si核心前體(即,Si原子在結構中是中心原子)、N核心前體(即,N原子在結構中是中心原子)、具有N-Si-N骨架的前體或其組合。在一些實施例中,Si核心前體可被寫為化學式SiHx (R1 )y (R2 )z ,其中R1 是SiH3 ,R2 是NH2 、N(CH3 )2 或N(C2 H5 )2 ,且x+y+z =4、x≥0、y≥0且z ≥0。在一些實施例中,舉例來說,Si核心前體是三甲基烷基胺(TSA)(SiH(SiH3 )3 )或過氫聚矽氨烷(SiH3 (NH2 ))。在一些實施例中,N核心前體可被寫為化學式N(SiH3 )x Ry Hz ,其中R是CH3 或C2 H5 ,且x+y+z=3,x ≥ 1。在一些實施例中,舉例來說,N核心前體是二矽烷胺(DSA)(NH(SiH3 )2 )。在一些實施例中,具有N-Si-N骨架的前體可被寫為化學式Si(NR)x Hy ,其中R是H、CH3 或C2 H5 ,且x+y=4,x ≥ 2。在一些實施例中,舉例來說,具有N-Si-N骨架的前體是SiH2 (NC2 H5 )2
在一些實施例中,氧自由基是在沉積室外部的遠端電漿系統(remote plasma system,RPS)中產生並被輸送到沉積室的處理區中。氧自由基可自含氧反應氣體(例如,分子氧(O2 )、臭氧(O3 )、水蒸氣(H2 O)或雙氧水(H2 O2 ))產生。
在一些實施例中,可提供無氧反應氣體,且所述無氧反應氣體包括NH3 、N2 、H2 等或其組合。在一些實施例中,無氧反應氣體包括氮(例如NH3 或N2 )以及H2 。在一些實施例中,無氧反應氣體流經位於沉積室外部的遠端電漿系統(RPS)且其自由基被產生並輸送到沉積室的處理區中。
也可包括一種或多種運載氣體。所述運載氣體可包括氦氣(He)、氬氣(Ar)、氮氣(N2 )等或其組合。在一些實施例中,沉積溫度為40℃到150℃,例如50℃到100℃或60℃到120℃。
接下來,在步驟S20處,提供含氧前體以與沉積室的處理區中的氧自由基反應,從而在可流動的氧化矽層中形成水。在一些實施例中,含氧前體不含氮。在一些實施例中,含氧前體可為氧基矽烷、烷氧基矽烷等或其組合。在一些實施例中,氧基矽烷可被寫為化學式Si(OR)x (OH)y Hz ,其中R為CH3 、C2 H5 或C3 H7 ,且x+y+z=4,x ≥ 1。在一些實施例中,氧基矽烷為Si(OCH3 )4 或Si(OC2 H5 )4 。在一些實施例中,氧基矽烷也可為環形,例如(SiO)x H2x ,其中4≦x≦8,例如(SiO)4 H8 。在一些實施例中,烷氧基矽烷可被寫為化學式Si(CH3 )x (OR)y Hz ,其中R是CH3 、C2 H5 或C3 H7 ,且x+y+z=4,x ≥ 1,y ≥ 1。在一些實施例中,烷氧基矽烷是SiH(CH3 )(OC2 H5 )2 。在一些實施例中,烷氧基矽烷可也為環形,(SiO)x Hy (CH3 )z ,其中3≦x≦ 8,y+z=2x,例如即(SiO)3 (CH3 )。
當含氧前體(例如,Si-O-R)與氧自由基反應時,水為副產物。詳細來說,反應機制包括如以下所示的烴類氧化、自縮合及/或醇縮合。 Si-O-R + O或H2 O à Si-OH + R’O (烴類氧化) Si-OH + Si-OH à Si-O-Si +H2 O (自縮合) Si-O-R + Si-OH à Si-O-Si + ROH ROH +O à CO2 +H2 O (醇縮合)
在處理區中,含矽前體在存在於處理區中的基底或晶圓上沉積可流動的氧化矽層,且然後含氧前體與氧自由基反應以在可流動的氧化矽層中形成水。由於矽層具有可流動的特性,因此所形成的水可輕易地擴散入可流動的氧化矽層中,且所形成的水實質上分散且嵌入在整個可流動的氧化矽層中。此外,可流動的氧化矽層的可流動性使得所述層能夠流入位於基底/晶圓的處理區上的狹窄的間隙、溝渠及其他結構中。
在一些實施例中,在將水嵌入可流動的氧化矽層中之前,可流動的氧化矽層含有氮,且在所述層中的N-H鍵及Si-O鍵可由FTIR([N-H]:3260-3450cm-1 ,FWHM=180~220cm-1 ;[Si-O]:1010-1080cm-1 ,FWHM=60~100cm-1 )以峰值高度比表徵為[N-H]peak /[Si-O]peak 。在一些實施例中,舉例來說,[N-H]peak /[Si-O]peak 的峰值高度比大於0.02。在一些實施例中,[N-H]peak /[Si-O]peak 的峰值高度比是0.04到0.06或0.035到0.07。在通過含氧前體及氧自由基形成水之後,可流動的氧化矽層含有H2 O,且在所述層中的水鍵可由FTIR([H2 O]:3250-3420cm-1 ,FWHM=400~500cm-1 )以峰值高度比表徵為[H2 O]peak /[Si-O]peak 。在一些實施例中,舉例來說,[H2 O]peak /[Si-O]peak 的峰值高度比大於0.05。在一些實施例中,[H2 O]peak /[Si-O]peak 的峰值高度比是0.12到0.165或0.135到0.175。
圖2示出根據本發明的一些實施例,一種形成氧化矽層的方法的時序圖。沉積製程基本上是通過重複至少一個沉積循環形成的。舉例來說,沉積循環包括時間段t1 到t3 。在從時間段t1 開始到時間段t3 結束的整個沉積循環期間,以恒定的量將含矽前體及含氧反應氣體提供到沉積室中,並沉積可流動的氧化矽層。此外,在整個沉積循環期間,提供含氧反應氣體以使所述含氧反應氣體流經遠端電漿系統以產生氧自由基及其他自由基。在整個沉積循環期間,以恒定的量提供無氧反應氣體,且在時間段t2 期間以增大的量提供含氧反應氣體。在位於時間段t1 與時間段t3 之間的時間段t2 中,以恒定的量將含氧前體提供到沉積室中。在一些實施例中,假設氧化矽層是通過將多個氧化矽層沉積到彼此之上而形成的,則舉例來說在時間段t1 期間,通過含矽前體及含氧反應氣體形成第一氧化矽層。在時間段t2 期間,通過含矽前體及含氧反應氣體在第一氧化矽層之上形成第二氧化矽層。此外,在時間段t2 期間,含氧前體與氧自由基反應以在第二氧化矽層中形成水。沉積第二氧化矽層的步驟與在第二氧化矽層中形成水的步驟並非實質上分離的,且沉積第二氧化矽層與形成水可同時發生,此使得水能夠輕易地擴散到第二氧化矽層中。在時間段t3 期間,通過含矽前體及含氧反應氣體在含有水的第二氧化矽層之上形成第三氧化矽層。
在一些實施例中,對氧自由基的流動進行控制及優化以與含氧前體反應來形成一定量的水。換言之,與在整個沉積循環期間提供的含矽前體相比,伴隨氧自由基的優化流動來週期性地提供含氧前體,以對可流動性進行微調並控制水量。在一些實施例中,時間段t1 到t3 可具有0.25<t2 /(t1 +t3 )<4的關係,例如t2 /(t1 +t3 )=1或0.8,但本發明並不僅限於此。在時間段t3 的末尾完成沉積循環。在一些實施例中,在相鄰的兩個沉積循環之間具有閒置時間段tidle 。在閒置時間段tidle 期間,全部停止提供含矽前體、含氧反應氣體、無氧反應氣體以及含氧前體,此使得可流動的氧化矽層能夠流動,且因此可改善含有水的可流動的氧化矽層的可流動性。在一些替代實施例中,舉例來說,閒置時間段tidle 可為0。換言之,可在兩個連續的沉積循環中無中斷地始終提供含氧反應氣體及無氧反應氣體。重複沉積循環直到已在沉積室中的至少一個基底上沉積了所需厚度的材料。在圖2中,示出了三個沉積循環,但本發明並不僅限於此。
然後,在步驟S30處,在沉積製程之後,對氧化矽層執行熱製程以使水擴散入所述氧化矽層中並利用所述水作為氧化劑來氧化所述氧化矽層。在一些實施例中,舉例來說,在第二氧化矽層中的水擴散到第一氧化矽層、第二氧化矽層及第三氧化矽層中。在一些實施例中,由於水是在執行熱製程之前形成於可流動的氧化矽層中,因此可流動的氧化矽層可以高轉化率被轉換為具有高結構完整性的氧化矽層。在實施例中,在氧化之後,氧化矽層本質上由矽及氧組成。在一些實施例中,熱製程使得可流動的氧化矽層充分轉變為具有更高密度、更強機械強度及更低濕蝕刻損失的氧化矽層。在一些實施例中,熱製程是退火製程,且可在大於300℃的溫度下執行。退火製程可為濕式退火或乾式退火。退火製程可被執行大於30分鐘的持續時間。在一些替代實施例中,在退火製程中,可提供例如O2 、O3 或H2 O等額外的含氧氣體。在一些實施例中,在氮氣環境中以介於400℃到450℃範圍內的溫度執行熱製程達30分鐘到60分鐘,且在所形成的氧化矽層中,舉例來說,碳的原子百分比小於7%且介電常數介於3.65到4.0範圍內。
在一些實施例中,熱製程是固化製程。在一些實施例中,固化製程是紫外固化製程,且固化製程可利用含氧氣體(例如,O2 、O3 等或其組合)以及高導熱性氣體(例如,He、Ar等或其組合)執行。在一些實施例中,當熱製程是退火製程時,可在退火製程之後執行另外的固化製程,以減小殘餘碳濃度並增大Si-O交聯。在一些實施例中,在H2 O環境中以介於550℃到650℃範圍內的溫度執行熱製程達30分鐘到60分鐘,然後在O2 或O3 環境中在300℃到400℃的溫度下執行額外的紫外固化製程達3分鐘到5分鐘以實現更高的密度,且在所形成的氧化矽層中,舉例來說,碳的原子百分比小於2%且介電常數介於3.85到4.05範圍內。
在一些實施例中,在沉積製程之後,對氧化矽層執行表面穩定化處理。在一些實施例中,通過利用NH3 、N2 、H2 或O2 電漿或浸入在O3 或H2 O等或其組合中而執行所述表面穩定化處理。
在一些實施例中,通過週期性地提供含氧前體以與氧自由基反應,在所形成的氧化矽層中形成水,並使水嵌入在所述氧化矽層中,因此省略了添加水,且不需要用於驅動H2 O的高溫及長週期的熱退火製程。此外,由於水均質性地(homogeneously)擴散到氧化矽層中,因此可實現高速及均質的氧化。因此,提高了所形成的氧化矽的例如碳含量及K值等特性且允許針對剩餘半導體製造製程的較高的熱預算。
以上發明的用於形成可流動的層的方法可用於在金屬氧化物半導體場效應電晶體(Metal-Oxide-Semiconductor Field-Effect Transistor,MOSFET)(例如,鰭場效應電晶體(Fin Field-Effect Transistor,FinFET))或其他半導體裝置中形成淺溝渠隔離(STI)區及/或層間介電質(inter-layer dielectric,ILD)。
圖3A到圖3D是根據一些實施例,一種形成半導體結構的方法沿第一方向的剖視圖。圖4是根據一些實施例,一種半導體結構沿與第一方向垂直的第二方向的剖視圖,且圖3D及圖4是同一半導體結構的剖視圖。
參照圖3A,在例如基底110等材料層中形成至少一個開口112。在移除基底110的一些部分之後,在基底110中形成例如鰭114等三維結構。在一些實施例中,基底110可為半導體基底,例如塊狀半導體、絕緣體上半導體(semiconductor-on-insulator,SOI)基底等,所述半導體基底可為經摻雜的(例如,以p型摻雜劑或n型摻雜劑摻雜)或未經摻雜。一般來說,絕緣體上半導體基底包括形成在絕緣體層上的半導體材料層。基底110可為晶圓,例如矽晶圓。所述絕緣體層可為例如埋入式氧化物(buried oxide,BOX)層、氧化矽層等。將所述絕緣體層提供於基底上,所述基底通常為矽或玻璃基底。也可使用其他基底,例如多層式基底或梯度基底。在一些實施例中,基底110的半導體材料可包括:矽;鍺;包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦的化合物半導體;包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP的合金半導體;或其組合。在一些實施例中,可通過在基底110中蝕刻溝渠而形成開口112。所述蝕刻可為任何可接受的蝕刻製程,例如反應離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)等或其組合。所述蝕刻可為各向異性的。
參照圖3B,形成絕緣層120以填充開口112並覆蓋例如鰭114等三維結構。在一些實施例中,在鄰近的鰭114之間形成絕緣層120。在一些實施例中,絕緣層120是通過以上在圖1及圖2以及對應段落中所述的方法形成的氧化矽層。在一些實施例中,舉例來說,開口112的寬度w大於6 nm,且開口112的高寬比(高度h比上寬度w)大於8。在一些實施例中,可流動性被定義為T/T’,其中T是填充在開口112中的絕緣層120的平均厚度,且T’是位於例如鰭114等三維結構的頂部上的絕緣層120的平均厚度。在一些實施例中,舉例來說,當寬度w是約100 nm時,可流動性大於5或5.5。在一些替代實施例中,絕緣層120可為通過任何可接受的製程形成的氧化物、氮化物等或其組合,且絕緣層120可通過高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)等或其組合形成。
在一些實施例中,移除位於開口112之外的絕緣層120。在一些實施例中,例如化學機械研磨(CMP)等平坦化製程可移除任何多餘的絕緣層120並形成共面的絕緣層120的頂表面及鰭114的頂表面。
參照圖3C,在一些實施例中,使絕緣層120凹陷以形成淺溝渠隔離(STI)區。絕緣層120被凹陷使得鰭114從鄰近的氧化矽層120之間突出。此外,絕緣層120的頂表面可具有平坦的表面(如圖所示)、凸出的表面、凹進的表面(例如凹面)或其組合。絕緣層120的頂表面可通過恰當的蝕刻被形成為平坦的、凸出的及/或凹進的。絕緣層120可利用可接受的蝕刻製程(例如,對絕緣層120的材料具有選擇性的蝕刻製程)形成凹陷。舉例來說,可使用稀釋氫氟酸(dHF)。
參照圖3D及圖4,然後在基底110中局部地形成源極/汲極122,在基底110上形成閘極124,並在閘極124與基底110之間形成閘極介電層126。在一些實施例中,源極/汲極122可為磊晶源極/汲極區且可包含矽、SiC、SiCP或SiP等。閘極124可由例如TiN、TaN、TaC、Co、Ru、Al、其組合或其多個層等含金屬材料製成。在一些替代實施例中,舉例來說,可通過替換閘極製程形成閘極124,也就是說,首先形成虛擬閘極,且然後由真正的閘極替換虛擬閘極。
在基底110上方在源極/汲極122與閘極124之間的開口/間隙中形成層間介電質層128。在一些實施例中,層間介電質層128填充在基底110上方在源極/汲極122與閘極124之間的開口/間隙,暴露出閘極124的頂表面並覆蓋源極/汲極122的頂表面。形成層間介電質層130以覆蓋層間介電質層128,且形成至少一個接觸件132以貫穿層間介電質層128及130來電連接源極/汲極122。在一些實施例中,層間介電質層128及130可為氧化物(例如氧化矽)、氮化物等或其組合。在一些實施例中,層間介電質層128及130是通過以上在圖1及對應的段落中所述的方法形成的氧化矽層。換言之,通過以上在圖1中所述的方法形成的氧化矽層可形成在源極/汲極122與閘極124之間並覆蓋閘極124或位於接觸件132之間。在一些替代實施例中,層間介電質層128及130可通過高密度電漿化學氣相沉積(HDP-CVD)等或其組合形成。可使用通過任何可接受的製程形成的其他矽氧化物。
儘管未明確示出,但所屬領域中的普通技術人員將輕易地理解,可對圖3D及圖4中的結構執行進一步的處理步驟。舉例來說,可在層間介電質層130上方形成各種金屬間介電質(inter-metal dielectric,IMD)以及位於所述金屬層介電質中的金屬層。
在一些實施例中,在例如鰭場效應電晶體等半導體裝置中的例如淺溝渠隔離區及/或層間介電質等絕緣特徵可為通過以上在圖1中所述的方法形成的氧化矽層。可流動的氧化矽層的可流動性使得膜能夠流入位於基底的沉積表面上的狹窄的間隙、溝渠及其他結構中。因此,所形成的絕緣特徵具有良好的間隙填充性質且因此提供良好的絕緣。此外,簡化了製程,且可顯著減小用於形成絕緣特徵的成本及時間。
在一些實施例中,一種形成氧化矽層的方法包括以下步驟。提供含矽前體、含氧前體以及氧自由基以形成含有水的氧化矽層。對所述氧化矽層執行熱製程以使所述水擴散入所述氧化矽層中並利用所述水作為氧化劑來氧化所述氧化矽層。
在一些實施例中,所述含矽前體包含Si或N作為中心原子或N-Si-N骨架。
在一些實施例中,所述含氧前體包含氫。
在一些實施例中,所述含氧前體包含氧基矽烷或烷氧基矽烷。
在一些實施例中,所述含氧前體不含氮。
在一些實施例中,所述熱製程包括退火製程、固化製程或其組合。在一些實施例中,一種形成氧化矽層的方法包括以下步驟。執行至少一個沉積循環。所述沉積循環包括:始終提供含矽前體及氧自由基以沉積氧化矽層;以及週期性地提供含氧前體並增大所述氧自由基的量以在所述氧化矽層中形成水。對所述氧化矽層執行熱製程以使所述水擴散入所述氧化矽層中並利用所述水作為氧化劑來氧化所述氧化矽層。
在一些實施例中,在所述沉積循環之間存在閒置時間段,且在所述閒置時間段期間,停止提供所述含矽前體、所述氧自由基以及所述含氧前體。
在一些實施例中,在所述沉積循環期間所述含矽前體的量是恒定的。
在一些實施例中,所述氧自由基是自含氧氣體產生的。
在一些實施例中,所述水是在沉積所述氧化矽層的同時形成的。
在一些實施例中,所述含矽前體包含Si或N作為中心原子或N-Si-N骨架。
在一些實施例中,所述含氧前體包含氧基矽烷或烷氧基矽烷。
在一些實施例中,所述氧化矽層是通過可流動的化學氣相沉積製程形成的。
在一些實施例中,一種形成半導體結構的方法包括以下步驟。以氧化矽層填充開口,其中形成所述氧化矽層的方法包括以下步驟。提供含矽前體、含氧前體以及氧自由基,以形成含有水的氧化矽層。對所述氧化矽層執行熱製程以使所述水擴散入所述氧化矽層中並利用所述水作為氧化劑來氧化所述氧化矽層。
在一些實施例中,所述含矽前體包含Si或N作為中心原子或N-Si-N骨架。
在一些實施例中,所述含氧前體包含氧基矽烷或烷氧基矽烷。
在一些實施例中,所述含氧前體不含氮。
在一些實施例中,所述氧化矽層是通過可流動的化學氣相沉積製程沉積的。
在一些實施例中,所述半導體結構是淺溝渠隔離或層間介電質。
以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本發明的各個方面。所屬領域中的技術人員應知,其可容易地使用本發明作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認識到,這些等效構造並不背離本發明的精神及範圍,而且他們可在不背離本發明的精神及範圍的條件下對其作出各種改變、代替、及變更。
110‧‧‧基底
112‧‧‧開口
114‧‧‧鰭
120‧‧‧絕緣層
122‧‧‧源極/汲極
124‧‧‧閘極
126‧‧‧閘極介電層
128‧‧‧層間介電質層
130‧‧‧層間介電質層
132‧‧‧接觸件
h‧‧‧高度
S10、S20、S30‧‧‧步驟
T、T’‧‧‧平均厚度
t1、t2、t3‧‧‧時間段
tidle‧‧‧閒置時間段
w‧‧‧寬度
結合附圖閱讀以下詳細說明,會最好地理解本發明的各個方面。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1是根據本發明的一些實施例,一種形成氧化矽層的方法的流程圖。 圖2示出根據本發明的一些實施例,一種形成氧化矽層的方法的時序圖。 圖3A到圖3D是根據一些實施例,一種形成半導體結構的方法沿第一方向的剖視圖。 圖4是根據一些實施例,一種半導體結構沿與第一方向垂直的第二方向的剖視圖。

Claims (1)

  1. 一種形成氧化矽層的方法,包括: 提供含矽前體、含氧前體以及氧自由基,以形成含有水的氧化矽層;以及 對所述氧化矽層執行熱製程以使所述水擴散入所述氧化矽層中並利用所述水作為氧化劑來氧化所述氧化矽層。
TW107134229A 2017-11-08 2018-09-28 形成氧化矽層的方法 TW201918578A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762582959P 2017-11-08 2017-11-08
US62/582,959 2017-11-08
US16/022,701 2018-06-29
US16/022,701 US10872762B2 (en) 2017-11-08 2018-06-29 Methods of forming silicon oxide layer and semiconductor structure

Publications (1)

Publication Number Publication Date
TW201918578A true TW201918578A (zh) 2019-05-16

Family

ID=66328839

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107134229A TW201918578A (zh) 2017-11-08 2018-09-28 形成氧化矽層的方法

Country Status (3)

Country Link
US (1) US10872762B2 (zh)
CN (1) CN109755173A (zh)
TW (1) TW201918578A (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113841224A (zh) * 2019-03-22 2021-12-24 朗姆研究公司 提供掺杂硅的方法
JP2023521607A (ja) * 2020-03-31 2023-05-25 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 高い弾性率を有する膜を堆積するための新規な前駆体
CN115346915A (zh) * 2021-05-14 2022-11-15 联华电子股份有限公司 半导体器件的制造方法

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429077B1 (en) * 1999-12-02 2002-08-06 United Microelectronics Corp. Method of forming a lateral diffused metal-oxide semiconductor transistor
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
KR20030018134A (ko) * 2001-08-27 2003-03-06 한국전자통신연구원 조성과 도핑 농도의 제어를 위한 반도체 소자의 절연막형성 방법
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7582555B1 (en) * 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US9257302B1 (en) * 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7074690B1 (en) * 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7521378B2 (en) * 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
TWI462179B (zh) * 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8012887B2 (en) * 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
KR101583608B1 (ko) * 2009-03-24 2016-01-08 삼성전자 주식회사 무기계 실리콘 전구체를 이용한 실리콘 산화막의 형성 방법및 이를 이용한 반도체 장치의 제조 방법
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP4944228B2 (ja) * 2009-09-16 2012-05-30 株式会社日立国際電気 基板処理方法及び基板処理装置
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG181670A1 (en) * 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8580699B2 (en) * 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
US20120083133A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US8685867B1 (en) * 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9460913B2 (en) * 2010-12-27 2016-10-04 Tokyo Electron Limited Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
US20120177846A1 (en) * 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8445078B2 (en) * 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8466073B2 (en) * 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
JP5959307B2 (ja) * 2011-06-22 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR101829281B1 (ko) * 2011-06-29 2018-02-20 삼성전자주식회사 인-시츄 공정을 이용한 산화막/질화막/산화막(ono) 구조의 절연막 형성 방법
TWI627303B (zh) * 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8846536B2 (en) * 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US8946095B2 (en) * 2012-10-25 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming interlayer dielectric film above metal gate of semiconductor device
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
JP6035166B2 (ja) * 2013-02-26 2016-11-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US20140302690A1 (en) * 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US9343293B2 (en) * 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
JP5998101B2 (ja) * 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US8975155B2 (en) * 2013-07-10 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a shallow trench isolation structure
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9786542B2 (en) * 2014-01-13 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming semiconductor device having isolation structure
JP5852151B2 (ja) * 2014-02-12 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP5883049B2 (ja) * 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
JP2015179729A (ja) * 2014-03-19 2015-10-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法およびその形成装置
JP6306411B2 (ja) * 2014-04-17 2018-04-04 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
JP5886366B2 (ja) * 2014-06-04 2016-03-16 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US9412581B2 (en) * 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6484478B2 (ja) * 2015-03-25 2019-03-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10526701B2 (en) * 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
JP6506666B2 (ja) * 2015-09-25 2019-04-24 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9607837B1 (en) * 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
KR102458309B1 (ko) * 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
JP6594804B2 (ja) * 2016-03-11 2019-10-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9837267B2 (en) * 2016-04-29 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Optical filtering for integrated dielectrics UV curing processes
US20170323785A1 (en) * 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US11017998B2 (en) * 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
DE102016124968B4 (de) * 2016-12-20 2024-01-18 Infineon Technologies Ag Ausbilden von Siliziumoxidschichten durch Oxidation mit Radikalen
US10269558B2 (en) * 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
JP6817845B2 (ja) * 2017-02-22 2021-01-20 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6853116B2 (ja) * 2017-05-31 2021-03-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10361137B2 (en) * 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
JP6840051B2 (ja) * 2017-08-02 2021-03-10 東京エレクトロン株式会社 タングステン膜上へシリコン酸化膜を形成する方法および装置
JP6777614B2 (ja) * 2017-09-26 2020-10-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10957543B2 (en) * 2017-09-29 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method of dielectric layer
US10290739B2 (en) * 2017-09-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method of dielectric layer
US10304677B2 (en) * 2017-09-29 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k feature formation processes and structures formed thereby
US10535512B2 (en) * 2017-11-21 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device with gate spacer
US11373866B2 (en) * 2018-06-29 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric material and methods of forming same
US11043373B2 (en) * 2018-07-31 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect system with improved low-k dielectrics
US10950426B2 (en) * 2018-08-14 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric layer, interconnection structure using the same, and manufacturing method thereof

Also Published As

Publication number Publication date
US20190139757A1 (en) 2019-05-09
CN109755173A (zh) 2019-05-14
US10872762B2 (en) 2020-12-22

Similar Documents

Publication Publication Date Title
US10644154B2 (en) Semiconductor device and manufacturing method thereof
US9209243B2 (en) Method of forming a shallow trench isolation structure
US9536773B2 (en) Mechanism of forming a trench structure
US9396986B2 (en) Mechanism of forming a trench structure
TWI625822B (zh) 半導體裝置及其形成方法
US7947551B1 (en) Method of forming a shallow trench isolation structure
US10121880B2 (en) Fin field-effect transistor and fabrication method thereof
CN105845725B (zh) 一种半导体器件及其制造方法和电子装置
US20220336264A1 (en) Silicon Oxide Layer for Oxidation Resistance and Method Forming Same
TWI737007B (zh) 積體電路裝置及其形成方法
TW201528458A (zh) 半導體元件及其形成方法
TW201918578A (zh) 形成氧化矽層的方法
TWI579923B (zh) 半導體元件之溝槽結構及其製造方法
TWI670794B (zh) 包括溝槽隔離之半導體裝置
TW202004913A (zh) 半導體裝置的形成方法
TW201911414A (zh) 複合接觸蝕刻停止層
CN109300790B (zh) 具有牺牲多晶硅层的接触蚀刻停止层
US20210343600A1 (en) Self-Aligned Metal Gate for Multigate Device
US20130095637A1 (en) Method of fabricating a semiconductor device
CN109087860B (zh) 一种FinFET器件的制作方法
CN109786254B (zh) 后栅极工艺中的选择性高k形成
TWI811781B (zh) 半導體裝置的形成方法
KR101116726B1 (ko) 반도체 소자의 리세스 게이트 형성방법