TW201809595A - 基板距離監控 - Google Patents

基板距離監控 Download PDF

Info

Publication number
TW201809595A
TW201809595A TW106115934A TW106115934A TW201809595A TW 201809595 A TW201809595 A TW 201809595A TW 106115934 A TW106115934 A TW 106115934A TW 106115934 A TW106115934 A TW 106115934A TW 201809595 A TW201809595 A TW 201809595A
Authority
TW
Taiwan
Prior art keywords
substrate
sensor
panel
processing chamber
chamber
Prior art date
Application number
TW106115934A
Other languages
English (en)
Other versions
TWI673473B (zh
Inventor
溫德爾格倫 博德二世
高芬達 瑞吉
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201809595A publication Critical patent/TW201809595A/zh
Application granted granted Critical
Publication of TWI673473B publication Critical patent/TWI673473B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02015Interferometers characterised by the beam path configuration
    • G01B9/02023Indirect probing of object, e.g. via influence on cavity or fibre
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

於此揭露的實施例包含一種面板,該面板具有:感應器組件、具有相同感應器組件的處理腔室、及在處理腔室中用於監控基板的方法。在一個實施例中,面板經配置以將處理氣體導入電漿處理腔室中。面板具有一個或更多個孔洞。感應器組件設置於一個或更多個孔洞中。感應器組件具有感應器及控制器。

Description

基板距離監控
本發明之實施例一般相關於用於製造微電子裝置的電漿處理腔室,且更特定地,相關於使用於判定針對其中的基板的處理參數之感應器。
在高精確度製造中(例如半導體製造),可需要基板在製造操作期間被夾具精確地維持以增加均勻的品質及減低缺陷。在一些製造操作中,可使用加熱的基板支撐為夾具以在一個或更多個製造操作期間支撐基板。
在半導體基板處理腔室中,許多處理對基板及腔室中所使用噴淋頭的面板之間所界定的空隙(間隔)非常敏感,該空隙提供氣體以處理基板。跨基板的空隙之均勻性為獲得滿意的基板處理結果之重要因素。此外,當冷基板放置於處理腔室內的基板支撐上時,高腔室處理溫度造成冷基板的熱膨脹,而可導致處理及傳輸問題。在一些處理中,例如使用旋轉基板支撐的原子層沉積(ALD),早期偵測到基板不在(out of pocket,OOP)將避免相關聯於腔室恢復的高成本,導因於基板撞擊噴淋頭且破壞腔室內部。
因此,具有針對用於監控基板支撐上基板的位置之改良方法及設備的需求。
於此揭露的實施例包含一種面板,該面板具有:感應器組件、具有相同感應器組件的處理腔室、及用於監控基板距離的方法。在一個實施例中,面板經配置以將處理氣體導入電漿處理腔室中。感應器組件設置於在面板中形成的孔洞中。可操作感應器組件以提供一測度(metric)以指示放置基板於面板下方的一距離。
在另一實施例中,處理腔室具有一腔室主體。該腔室主體具有一腔室蓋、腔室壁及一腔室底部,其中該腔室主體封閉一腔室內部容積。基板支撐具有設置於腔室內部容積中的工件支撐表面。面板在內部容積中由腔室蓋支撐。面板經配置以將處理氣體導入電漿處理腔室。感應器組件設置於在面板中形成的孔洞中。可操作感應器組件以提供一測度以指示放置基板於面板下方的一距離。
在以下說明書中詳細提出其他特徵及優點,且對發明所屬領域具有通常知識者而言,自說明書或藉由實現於此描述之實施例來理解,部分將為顯而易見的,包含以下說明書之細節、申請專利範圍、以及所附圖式。
應理解前述一般說明及以下詳細說明僅為示範性,而不意圖提供對申請專利範圍的本性及特性之理解的概觀或框架。包含所伴隨圖式以提供進一步理解,且可併入及構成本說明書的一部份。圖式圖示一個或更多個實施例,且與說明書一起服務以說明多種實施例的原則及操作。
現在參考實施例的細節,實施例的範例圖示於所伴隨圖式中,其中展示一些但並非所有實施例。事實上,可以許多不同形式實現概念,且此處不應詮釋為限制;反之,提供該等實施例使得本揭示案滿足可應用的合法需求。儘可能地,使用相似的參考數字以參照相似的部件或零件。
於此揭露的實施例包含設置於孔洞中的感應器組件,該孔洞在噴淋頭的面板中形成,該噴淋頭經配置以提供處理氣體進入半導體處理腔室。感應器組件允許得到在處理腔室內設置於基板支撐上的基板及面板之間的距離。可操作感應器組件以提供測度以指示放置基板於面板下方的距離。當使用多個感應器組件時,及/或當基板在一個或更多個感應器組件下旋轉時,可使用由一個或更多個感應器組件的感應器所獲得的測度以偵測測度以指示設置於基板支撐表面的基板之錯位或放置基板超出處理參數。此外,也可使用設置於面板上多個位置處的感應器組件以判定升降銷及基板支撐是否正確放置以用於處理。感應器組件可提供至設置於基板支撐上的基板的距離之即時量測以用於精細調諧處理參數。例如,控制系統可使用自感應器組件所獲得的距離資訊以修改基板支撐的高度以維持基板及噴淋頭之間的標的距離或檢查基板錯位及不在的問題及/或以實質平行定向來對齊面板及基板。在此方式中,可緊緊控制用於設置於基板支撐上的基板的處理參數,且可防止導因於錯位或不在問題的基板損壞。在一個範例中,感應器組件可為雷射感應器,使用以監控基板及噴淋頭的面板之間的空隙值,以判定基板是否不在基板支撐上形成的接收處。感應器組件也提供即時基板至面板之距離資訊,可使用於即時處理控制。在一些配置中,感應器組件也可能夠偵測基板中的彎曲且提供回饋以影響正確量測以確保設置於基板上的薄膜品質。
第1圖根據一個實施例圖示具有噴淋頭組件112的化學氣相沉積(CVD)處理腔室100。處理腔室100包含具有側壁104、底部105、及蓋106的腔室主體102。側壁104及蓋106界定內部容積108。可在側壁104中形成基板傳輸埠110以傳輸基板進入及離開內部容積108。
處理腔室100具有耦合至處理腔室100的控制系統198。控制系統198包含中央處理單元(CPU) 192、記憶體194、及支援電路196。使用控制系統198以控制處理腔室100。當由CPU 192執行軟體例行程式時,將CPU 192轉換成特定目的電腦(控制器)190以控制處理及設置於處理腔室100的內部容積108內的儀器。
基板支撐126設置於處理腔室100的內部容積108內噴淋頭組件112下方。基板支撐126可垂直地在降低裝載位置(如所展示)及升高處理位置(未展示)之間移動。基板支撐126經配置以在處理期間支撐基板101。基板支撐126可包含可移動地穿過基板支撐126設置的複數個升降銷128。可致動升降銷128以自基板支撐126的支撐表面130突出,因而相對於基板支撐126間隔開來放置基板101以便於使用傳輸機械手(未展示)經由基板傳輸埠110傳輸。
噴淋頭組件112設置於內部容積108中且耦合至蓋106。噴淋頭組件112包含下方板114及面板118。下方板114放置於蓋106下方,使得在下方板114及蓋106之間形成第一充氣部120。在一個實施例中,噴淋頭組件112進一步包含置於下方板114及面板118之間的擴散板116。擴散板116形成下方板114及擴散板116之間的第二充氣部124及擴散板116及面板118之間的第三充氣部122。
第一充氣部120經配置以自耦合至惰性氣體來源144的氣體輸送系統180接收惰性氣體。下方板114經配置以自第一充氣部120提供惰性氣體至第二充氣部124。下方板114包含複數個孔隙132。孔隙132允許第一充氣部120及第二充氣部124之間的流體溝通。在下方板114中在第一充氣部120底下放置複數個孔隙132。
處理腔室100進一步包含中央管道138。穿過蓋106形成中央管道138且開啟進入第二充氣部124。中央管道138經配置以自處理氣體來源140提供處理氣體至第二充氣部124。在第二充氣部124中,由中央管道138所供應的處理氣體與自下方板114提供的惰性氣體混合。可在第一充氣部120及/或第二充氣部124中產生多個區以允許離開面板118及輸送至基板101的處理氣體分佈中的梯度,以改良薄膜沉積屬性。在一些實施例中,均勻地提供離開面板118及輸送至基板101的處理氣體分佈。
擴散板116包含複數個孔隙134。複數個孔隙134允許第二充氣部124及第三充氣部122之間的流體溝通。擴散板116經配置以分散提供至第三充氣部122的氣體混合物。第三充氣部122與面板118及基板支撐126之間界定的處理區域142經由穿過面板118形成的複數個孔隙或氣體孔洞136來流體溝通。孔隙134允許第三充氣部122及處理區域142之間的流體溝通。
面板118具有碟狀主體119。面板118具有面對處理腔室100的處理區域142的底部表面152,及相對於底部表面152的頂部表面154。在一個實施例中,頂部表面154面對第三充氣部122。在面板118及基板支撐126上支撐的基板101之間界定空隙190。空隙190為基板101及面板118之間距離的量測。空隙190的範圍可自最小值(當基板支撐126位於升高處理位置時)至最大值(當基板支撐126位於降低裝載位置時)。
碟狀主體119可具有設置於碟狀主體119中的一個或更多個感應器組件170。感應器組件170可與控制系統198溝通。可操作感應器組件170以提供測度以指示空隙190。可使用該測度以判定支撐表面172上的基板101的位置。在一個範例中,提供由感應器組件170所提供的測度至控制系統198,以基於感應器組件170所量測的至基板101的距離來使用該測度以判定面板118及基板101之間的空隙190。在此方式中,控制系統198可藉由調整基板支撐的高度以維持跨空隙190所需(亦即,標的)距離來調整空隙190。可使用感應器組件170所提供的測度以判定儀器問題,例如卡住的升降銷、基板支撐錯位、基板101耗盡平坦度或錯位、及/或維持空隙190於標的範圍以用於額外的處理控制以改良薄膜品質。
在判定空隙190之後,控制系統198可修改基板支撐126或升降銷109位置以適當地對齊基板101以維持基板101與噴淋頭組件112的噴淋頭面板118的平面表面,亦即,平坦度。此外,如果來自感應器組件170的測度指示出處理參數超出標的值或損壞處理腔室100或基板101可能要發生,控制器198可暫停基板101的處理。控制器也可調整噴淋頭組件112的面板118以適當地與基板101對齊。
面板118可藉由複數個致動器150接合至擴散板116。致動器150可為線性致動器、平行運動精確定位系統,例如六足器(hexapod)、或其他合適的致動器。致動器150可獨立於彼此移動以定向面板118的底部表面152。例如,當其他致動器150縮回以定向面板118的底部表面152的平面為實質與設置於基板支撐126上的基板101的平面平行時,一個或更多個致動器150可延伸。替代地,一個或更多個致動器150可接合至基板支撐126以定向支撐表面130成一位置,其中設置於支撐表面130上的基板101的平面實質與面板118的平面平行。
簡短轉至第5及6圖,圖示出針對具有致動器150的噴淋頭的範例實施例。第5圖圖示針對具有致動器150的噴淋頭組件112的一個實施例,以調整處理腔室100內噴淋頭組件112的位置。在噴淋頭組件112中的面板118的碟狀主體119具有外周邊518。可沿著外周邊518設置致動器150。碟狀主體119可具有設置於一個末端的第一致動器551及設置於相對末端的第二致動器552。每一致動器150可在箭頭520所展示的向上方向及箭頭510所展示的向下方向之間的垂直線中可移動。虛線530圖示基板101的平面(未展示)。感應器組件170可指示自基板101的底部表面152的距離。第一致動器501與第二致動器502合作而在向上(520)或向下(510)方向中移動,而以與指示基板101平面的虛線530平行定向來放置底部表面152。因為虛線530所指示的基板101的平面可針對每一或後續在處理腔室100中經受處理的基板101而不同,可藉由量測與感應器組件170的空隙190及調整第一及第二致動器551、552以定向平行於基板101的面板118的底部表面152,來判定指示基板101平面的虛線530。
第6圖圖示針對具有致動器150的噴淋頭組件112的另一實施例,以調整處理腔室100內噴淋頭組件112的位置。噴淋頭組件112的面板118可具有沿著面板118的中央線690的主幹618。複數個致動器150可接合主幹618與設置於擴散板116上的噴淋頭支座622。可相對於第二致動器652設置第一致動器651以調整面板118的底部表面152之傾向。例如,第一致動器651可調整向上611以增加位置601處的第一空隙,同時第二致動器652調整向下613以減少602處的第二空隙。感應器組件170可量測沿著底部表面152長度的空隙190,且提供該量測值至控制器以據以調整致動器150,因而建立與基板101平行的底部表面152。
第7圖圖示針對具有致動器150的基板支撐126的一個實施例,以調整處理腔室100內基板支撐126的位置。基板支撐126設置於主幹726上。可沿著基板支撐126的中央線790設置主幹726。複數個致動器150可接合主幹726至支撐支座714。支撐支座714可接合至升降器710,可操作升降器710以移動整體基板支撐126向上711及向下713。支撐支座714的部分715可延伸至主幹726的內部727。致動器150可移動地連接支撐基板支撐126的主幹726至支撐支座714。致動器150可包含複數個致動器,例如第一致動器751及第二致動器752。第一及第二致動器751、752可接合支撐支座714的部分715的相對側至主幹726。第一及第二致動器751、752可沿著複數個軸操作。例如,第一及第二致動器751、752可為可沿著六個不同移動軸操作的六足器定位器,以調整基板支撐126的對齊。例如,第一致動器751可調整向上711以增加位置781處的第一空隙,同時第二致動器752調整向下713以減少位置782處的第二空隙值。設置於面板118中的感應器組件170可量測沿著底部表面152長度的空隙190(例如,位置781、782處的第一及第二空隙的值),且提供該等值至控制器以調整第一及第二致動器751、752,以建立設置於基板支撐126上的基板101及面板118之間的平行定向。
第8圖圖示針對具有致動器150的基板支撐126的另一實施例,以調整處理腔室100內基板支撐126的位置。升降器710相似地接合至裝設中心741。然而,在此實施例中,致動器150設置於主幹726的直徑826及裝設中心741之間。可具有兩個或更多個致動器150(例如,四個致動器150),可在主幹726及裝設中心741之間操作。例如,第一致動器851及第二致動器852可操作以調整基板支撐126而以平行方式對齊設置於基板支撐126上的基板101及面板118。
也思量:可延伸設置於處理腔室100中的感應器組件170以製造其他產品,其中相關於欲處理工件的偏離的資訊在奈米或在微米層級中為所需的。接著,可依據感應器組件170所提供的資料使用回饋迴圈控制操作參數,以改良該等產品生產中的處理控制。
現在額外地參考第2圖,現在討論面板118及感應器組件170的位置。第2圖為具有感應器組件170的面板118的底部平面視圖,感應器組件170跨面板118的底部表面218間隔。底部表面218經配置以曝露於處理腔室的處理區域142(亦即,面對基板101)且實質平行於基板支撐126的支撐表面130。
面板118具有複數個氣體孔洞136(在第1圖中展示)。面板118具有一個或更多個感應器裝設孔洞210。感應器組件170裝設於面板118的感應器裝設孔洞210中。替代地,感應器組件170可裝設於氣體孔洞136中。下方進一步討論相關於第9圖的此針對感應器組件170位置的替代排列。感應器裝設孔洞210的形狀不限於圓形孔洞。例如,感應器裝設孔洞210可為錐形、正方形、或其他適於接收其中的感應器組件170的形狀。感應器裝設孔洞210可為雷射鑽孔、加工或以其他合適方式形成,例如3D列印。在一個實施例中,放置感應器組件170於面板118的存在的在地微觀(ISM)埠中。在另一實施例中,一個或更多個氣體孔洞136被配置為感應器裝設孔洞210以接收感應器組件170。
在一個實施例中,面板118具有三個感應器裝設孔洞210,每一孔洞210個別接收一個感應器組件170。在面板118中形成的感應器裝設孔洞210也被標示為第一感應器裝設孔洞201、第二感應器裝設孔洞202、及第三感應器裝設孔洞203。第一、第二及第三感應器裝設孔洞201、202、203之每個可相似地配置以接受感應器組件170。第一、第二及第三感應器裝設孔洞201、202、203可等距間隔。例如,第一、第二及第三感應器裝設孔洞201、202、203可繞著共用半徑上的面板118的中央278相等地間隔。面板118的中央278與基板支撐126的中央對齊,因而也與基板101的中央對齊。可放置第一、第二及第三感應器裝設孔洞201、202、203接近面板118的外周邊216。替代地,可放置第三感應器裝設孔洞203較第一、第二感應器裝設孔洞201、202更靠近中央278。在此方式中,設置於其中的感應器可能夠偵測空隙190在自中央278至外周邊216的不同半徑處的差異。
可排列第一、第二及第三感應器裝設孔洞201、202、203的位置,使得設置於其中的該等感應器組件170可在量測空隙190時偵測相對於面板118的基板101的表面之定向。例如,個別裝設於第一、第二及第三感應器裝設孔洞201、202、203中的三個感應器組件170可能夠判定基板表面的平面且比較所判定的基板表面的平面相對於基板表面的所需或標的平面之傾向,一般而言需為平行於基板支撐126的支撐表面130且垂直於基板支撐126的中央線。若基板表面未駐於標的平面中,控制系統198可判定基板可未適當地裝載、彎曲、正在移動或震動、或其他非理想地安置以用於處理。控制系統198可停止處理或發出警告訊號,例如警報、電子郵件、電子通知等等,以回應於判定基板101未駐於標的平面中。
如上方討論,感應器組件170可設置於在面板118中形成的穿孔中,例如氣體孔洞136。感應器組件170可為多孔且允許流體(例如,處理氣體)橫越穿過感應器組件170。例如,感應器組件170可具有通路,准許處理氣體通過感應器組件170。轉至第9圖,第9圖為在第1圖中展示的面板118的部分橫截面視圖,具有裝設於氣體孔洞136中的感應器組件170。沿著氣體孔洞136的中央提供垂直線998。僅圖示垂直線998以展示定向。氣體孔洞136的形狀(其中感應器組件170裝設於面板118中)不限於圓形孔洞。孔洞可為雷射鑽孔、加工或以其他合適方式形成。
感應器組件170包含感應器980及感應器外殼920。感應器920可為基於光纖的感應器,例如Fabry-Pérot感應器(FPS)、或干涉儀、或其他適於量測小偏離的感應器。在一個實施例中,感應器980為FPS。感應器980與控制系統通訊。在一個實施例中,感應器980可具有通訊連接984固線至控制器。替代地,感應器組件170可與控制系統無線通訊。感應器980可量測測度以指示設置於基板支撐126上的基板101(未展示)的距離,且提供該測度至控制系統以即時分析以確保維持處理完整性。
感應器980可具有感應器頭982。感應器頭982可發射及接收訊號以進行距離量測。感應器980可精確裝設於面板116中,使得感應器頭982及任何物體(例如,基板(未展示))之間的距離可被即時量測,以判定奈米精確度的相對位移。可在氣體孔洞136的轉換管道910內精確地裝設感應器980。在第12A至12C圖中展示感應器頭982的範例配置。感應器頭982可具有合適形狀以與彈簧交界,例如,在第13A至13B圖中展示的錐形彈簧943或甜甜圈式線圈彈簧942。
感應器外殼920維持感應器980於氣體孔洞136中。感應器頭982可與垂直線998在+/-3度內對齊,或,換句話說,相距基板支撐126的垂直線+/-3度。可精確調整感應器頭982相距面板116的底部表面152的距離自約低於5 mm至約300 mm。
感應器980可包含輻射發射器以發射輻射及輻射偵測器以量測基板101所反射的輻射部分。輻射或訊號可為例如具有約600奈米及約1700奈米之間的波長之電磁輻射。感應器980中的輻射偵測器量測針對所發射輻射訊號的返回路徑。因此,感應器980的角度及位置可影響量測。感應器外殼920維持感應器980於精確位置及定向以便於精確量測。
感應器外殼920可包含錐形彈簧支座922及裝設頭924。於此額外參考第10A、10B及11圖來討論錐形彈簧支座922及裝設頭924。第10A圖為針對感應器組件170的錐形彈簧支座922的透視視圖。可使用錐形裝設頭924以及錐形彈簧支座922一起達成感應器980的自身對齊。第10B圖為針對錐形彈簧支座922的平面視圖。第11圖為針對感應器組件170的裝設頭924的橫截面視圖。
裝設頭924及錐形彈簧支座922皆可由適於低溫度操作的聚合物形成。替代地,裝設頭924及錐形彈簧支座922可由適於高或低溫度應用的陶瓷或金屬材料形成。裝設頭924及錐形彈簧支座922可由金屬製成,例如不鏽鋼(SST)、鈦、鋁、鎢、鎳、或其他合金。替代地,裝設頭924及錐形彈簧支座922可由陶瓷材料製成,例如礬土或氮化鋁、或石英。裝設頭924及錐形彈簧支座922也可以金屬或陶瓷材料3D列印。
感應器外殼920經配置以允許氣體流動經過感應器組件170。感應器外殼920可為多孔的。裝設頭924及錐形彈簧支座922皆可為多孔的且可額外地或替代地具有多個孔洞或狹縫以允許氣體流動經過。例如,如第11圖中所展示,裝設頭924具有孔洞1126、1164以允許氣體通過感應器組件170。此外,如第4A及4B圖中所展示,錐形彈簧支座922具有複數個孔洞1064。錐形彈簧支座922中的孔洞1164對齊裝設頭924中的孔洞1164以促使流體流動經過感應器組件170。孔洞1126、1164可延伸穿過裝設頭924。可精確加工裝設頭924及錐形彈簧支座922而具有沿著周邊的孔洞1126、1164(例如,四個或更多個),以允許氣體流動經過感應器組件170。替代地,可在附加的製造處理期間(例如,3D列印)於裝設頭924及錐形彈簧支座922中形成複數個孔洞1126。孔洞1126、1164的數量範圍可自約1個至約100個或更多個,以調整流體流動經過的傳導性。替代地,感應器外殼920可由多孔材料形成(例如,多孔性陶瓷),以進一步改良當感應器980裝設於氣體孔洞136或其他穿孔中時的氣體流動。
簡短轉至第10A及10B圖,裝設頭924具有主體1001。主體1001可為環形。可選地,主體1001可具有側面開口1030。主體1010具有中央開口1050。在一個實施例中,主體1001為六角環,其中省略六角形的一個面以形成側面開口1030。在另一實施例中,主體1001可具有圓形環形,具有省略的主體1001區段,以有效地形成側面開口1030。
中央開口1050可具有內周邊1002。中央開口1050可具有內突出部1020自內周邊1002延伸進入中央開口1050。內突出部1020可具有內周邊1032。調整中央開口1050的內周邊1002的大小以允許感應器980通過。內突出部1020的內周邊1032小於中央開口1050的內周邊1002。內突出部1020的內周邊1032也小於感應器980的寬度,使得感應器980可由內突出部1020支撐。在此方式中,裝設頭924中的感應器980位置可經配置以精確地在氣體孔洞136內排列感應器980。
裝設頭924的主體1001具有複數個孔洞1064。孔洞1064自頂部表面1009延伸至底部表面1008。在一個實施例中,裝設頭924具有四個孔洞1064。在另一實施例中,裝設頭具有六個或更多個孔洞1064。孔洞1064經配置以准許當感應器組件170裝設於氣體孔洞136中時流體流動通過裝設頭924的主體1001。優勢地,感應器組件170可安裝於傳統靜電夾具中的現存流體輸送孔洞內部,因而允許改造現存靜電夾具,而感應器組件170不會干擾流體流動經過容納感應器組件170的孔洞。
裝設頭924的主體1001額外具有一個或更多個插銷1074。插銷1074自頂部表面1009延伸通過底部表面1008。在一個實施例中,裝設頭924具有三個插銷1074以交界於及定位錐形彈簧支座922中的裝設頭924。
簡短返回至第11圖,錐形彈簧支座922具有複數個接收孔洞1174。錐形彈簧支座922中的接收孔洞1174自裝設頭924接收插銷1074。因此,錐形彈簧支座922可與裝設頭924以預定的方式對齊。
錐形彈簧支座922具有主體1101。主體1101可具有底部表面1107及頂部表面1108。主體1101可為環形且具有內部開口1175自底部表面1107延伸至頂部表面1108。複數個翼片1170可延伸於頂部表面1108上方。翼片1170提供穩定性至錐形彈簧支座922及裝設頭924之間的介面。此外,翼片1170可援助對齊裝設頭924中的插銷1074與錐形彈簧支座922中的接收孔洞1174。
主體1101可具有複數個通道1160,亦即,孔洞1126、1164,延伸穿過主體101。通道1160可對齊裝設頭924中的孔洞1064。因此,通道1160及孔洞1064的組合提供流體連續的管道以流動跨感應器外殼920因而穿過感應器組件170。在一個範例中,流體在錐形彈簧支座922的底部1107處進入內部開口1175。流體向上移動經過錐形彈簧支座922朝向頂部表面1108。流體進入在錐形彈簧支座922中形成的通道1160,且被導入且通過裝設頭924的孔洞1064。流體在裝設頭924的頂部表面1009處離開孔洞1064且持續向上氣體孔洞136至基板支撐表面172。因此,通道1160及孔洞1064的組合一起允許流體通過感應器組件170。
錐形彈簧支座922的主體1101經配置以與氣體孔洞136中的轉換管道交界,而不會干擾流動穿過氣體孔洞136的流體。主體1101在底部表面1107處具有內直徑1144。內直徑1144向上延伸朝向頂部表面1108。內直徑1144以角度1132轉換至傾斜內部表面1130。傾斜內部表面1130可自內直徑1144以角度1132向外延伸朝向頂部表面1108。角度1132及傾斜內部表面1130可經配置以影響流體流動的屬性,例如流體傳導性、壓力或速率。
主體1101可額外具有外表面1177上的倒角1150。倒角1150可具有角度以形成錐形彈簧支座922及轉換管道910之間的壓合。倒角1150可為相距頂部表面1108的規定距離1152。距離1152可製成任何大小以容納需求。例如,可藉由具有倒角1150及頂部表面1108之間較長或較短的距離1152來形成主體1101以調整距離1152的大小。替代地,主體1101可在兩個區段中形成,其中第一區段包含頂部表面1108且第二區段包含倒角1150。區段可以一方式接合在一起,例如將區段旋擰在一起、使用組合的步驟及著陸、或使用其他合適的方式以允許修改距離1152。優勢地,可精確地調整感應器頭982向上朝向或向下遠離面板118的底部表面152自約低於5 mm至約30 mm。
現在額外參考第3圖以及第1圖,現在討論具有針對感應器組件170的不同位置的面板118的第二實施例。第3圖為根據第二實施例針對具有間隔的感應器組件170的面板118的底部平面視圖。
面板118具有排列於區域302中的感應器裝設孔洞210(由虛線所圖示地展示)。代表區域302的虛線可相似地代表區域302相關聯的基板101的外周邊。每一區域302具有一個或更多個感應器組件170以量測座落於個別區域302中的基板101處的空隙190。區域302的大小及形狀僅為圖示且可經配置以對應一個或更多個基板。在一個實施例中,每一區域302對應且代表單一基板101。
面板118可具有區域302的第一區域310,具有設置於其中的一個或更多個感應器裝設孔洞210,每一感應器裝設孔洞210保持個別一個感應器組件170。例如,第一區域310可具有第一裝設孔洞331,經配置以支撐及接收第一感應器組件170。第一區域310可具有第二裝設孔洞332,經配置以支撐及接收第二感應器組件170。第一區域310也可具有第三裝設孔洞333,經配置以支撐及接收第三感應器組件170。第一區域310可依需求或所欲而具有額外感應器裝設孔洞210。感應器裝設孔洞210(例如第一、第二、及第三裝設孔洞331、332、333)可使用相似於第2圖中所討論的排列來配置於第一區域310內。繞著第一區域310設置於感應器裝設孔洞210中的感應器組件170的排列可經配置以偵測測度以指示沿著基板101不同位置處的空隙190。
面板118可具有區域302的第二區域320接續感應器裝設孔洞210。第二區域320可具有設置於感應器裝設孔洞210中的感應器組件170。感應器組件170經配置以沿著對應於第二區域320的第二基板量測空隙190。面板118可具有包含感應器裝設孔洞210的區域302的第三區域330。第三區域330具有設置於感應器裝設孔洞210中的感應器組件170。感應器組件170沿著對應於第三區域330的第三基板量測空隙190。面板118可具有包含感應器裝設孔洞210的區域302的第四區域340。第四區域340具有設置於感應器裝設孔洞210中的感應器組件170。感應器組件170沿著對應於第四區域340的第四基板量測空隙190。因此,有可能使用對應基板101數量的區域來配置面板118,其中基板支撐126經配置以支撐。在一個實施例中,基板支撐126經配置以支撐3個基板101且面板118具有三個區域302。
第4圖為針對處理腔室100的內部部分的示意側面視圖,且可引用以便於感應器組件170的操作之討論。所展示為面板118及基板支撐126的部分。基板支撐126經配置以支撐多於一個基板101。在一個實施例中,基板支撐126支撐兩個基板。在基板支撐126的支撐表面130上形成第一基板接收口袋451。第一基板接收口袋451經配置以維持其中的第一基板401。第二基板接收口袋450也可在基板支撐126的支撐表面130上形成。第二基板接收口袋450經配置以維持其中的第二基板402。
面板118具有複數個感應器組件170。感應器組件170可為基於光纖的感應器,例如Fabry-Pérot感應器(FPS)、雷射感應器、或干涉儀、或其他適於量測小(微米)距離的感應器(可自其中得到基板偏離)。在一個實施例中,感應器組件170為雷射感應器。感應器組件170與控制系統198通訊。感應器組件170可經由有線或無線連接至控制系統198來通訊。感應器組件170可包含光纖及外部感應器。可使用光纖以引導雷射訊號自外部感應器至內部處理腔室,或自處理腔室/基板至偵測器。裝設於面板118中的感應器組件170輸出測度以指示至設置於基板支撐126上的基板之距離(亦即,空隙190)。感應器組件170可即時提供測度至控制系統198以用於分析及處理控制。感應器組件170提供空隙190至基板101的量測以指示彎曲、錯位、振動、或其他缺陷誘導指示器。例如,感應器組件170可沿著面板118及基板101在多個點處識別基板及面板118之間的空隙190。感應器組件170提供即時回饋,援助基板101上對處理均勻性問題的故障排除。可排列針對感應器組件170的多個位置於相對於基板101中央的位置,例如自基板101邊緣約3 mm至約5 mm之間,由在第3圖中所圖示的區域302圖示。與單一基板101交界的針對感應器組件170的不同位置允許獲得基板101的平面定向。
展示具有四個感應器組件170的面板118。基板支撐126中的每一口袋450、451可具有兩個或更多個感應器組件170。例如,第二基板接收口袋450具有第一感應器471及第二感應器472。第一及第二感應器471、472可自垂直於面板118的底部表面218於+/-3度內對齊。第一及第二感應器471、472量測自偵測空隙190的面板118至第二基板接收口袋450中的第二基板402的實質垂直距離。相似地,第一基板接收口袋451具有第三感應器473及第四感應器474。第三及第四感應器473、474可自垂直於面板118的底部表面218於+/-3度內對齊。第三及第四感應器473、474量測自偵測空隙190的面板118至第一基板接收口袋451中的第一基板401的實質垂直距離。
在一些實施例中,基板支撐126在處理期間旋轉。不完整或不適當地駐於口袋450、451之其中一者的基板101通常導致對基板101、處理腔室100及/或面板118的嚴重損壞。因為感應器組件170即時偵測基板101何時不在,大大減少了對基板的損壞及處理腔室等待時間。
感應器組件170也可偵測基板101交接問題且提供即時回饋。例如,第三感應器473提供第三量測463的回饋。第四感應器474提供第四量測464的回饋。第三及第四量測463、464可相較於預測量測以判定在第二基板接收口袋450中的第一基板401的狀態。第三及第四量測463、464實質相同且落於預定範圍內的指示可指示第一基板401適當地安置在第二基板接收口袋450中,且基板401的平面定向處於容忍度內以用於處理。
感應器組件170也可即時偵測基板101彎曲或錯位。此外,感應器組件170可偵測面板118及/或基板支撐126的錯位。例如,第一感應器471提供第一量測461的回饋。第二感應器472提供第二量測462的回饋。第一及第二量測461、462可相較於預測量測以判定在第一基板接收口袋451中安置的第二基板402的狀態。第一及第二量測461、462實質不同或落於預定範圍外的指示可指示第二基板402在第一基板接收口袋451中錯位,或平坦度處於容忍度外以用於處理。此外,第一及第二量測461、462可量測基板的偏離或彎曲。例如,可在中央位置中量測空隙190且相較於沿著基板的外周邊的空隙190的量測。感應器組件170在複數個位置處量測面板116及基板101之間的空隙190。空隙190可指示基板101的平坦度及基板101對面板116的接近度。感應器組件170可量測短時間區間上空隙190對基板101的改變。控制系統198可能夠使用來自感應器組件170的即時量測空隙190及比較每一後續量測來偵測基板101中的震動。在控制系統198判定基板正在移動之後,控制系統198可暫停處理基板。優勢地,控制系統198可最小化基板101中的薄膜應力。
可藉由自一個或更多個感應器組件提供一個或更多個訊號至控制系統來監控處理腔室中的基板。一個或更多個感應器組件設置於面板中,面板設置於處理腔室中。一個或更多個訊號可包含測度以指示面板及面板下方設置於基板支撐組件上的基板之間的距離。測度可判定面板及基板之間的距離是否處於標的窗部外部。針對基板的測度處於標的窗部外部可產生至少一警報、調整基板製造處理或暫停基板製造處理,以回應於面板及基板之間的該距離處於標的窗部外部。例如,測度可指示處於處理窗部外部的基板之平面定向。在另一範例中,測度可指示基板震動。
優勢地,於此描述的感應器組件藉由監控基板及面板之間的空隙來幫助防止設置於基板支撐上的基板之彎曲、錯位、及處理均勻性。防止基板彎曲減低了製造期間的薄膜應力。此外,防止基板錯位最小化對腔室儀器及昂貴基板兩者的損壞。
對發明所屬領域具有通常知識者而言,會想到許多此處未提出的實施例所屬修改及其他實施例,具有前述說明書及相關聯圖式中所呈現教示的益處。因此,應理解說明書及申請專利範圍不限於所揭露的特定實施例,且意圖包含修改及其他實施例於所附申請專利範圍的範圍內。意圖將實施例覆蓋所提供實施例的修改及變化而落於所附申請專利範圍及其等效物的範圍內。雖然於此施用特定用語,僅以通用及描述的意義來使用該等用語而非為了限制的目的。
前述係本發明的實施例,可修改本發明的其他及進一步的實施例而不遠離其基本範圍,且該範圍由隨後的申請專利範圍所判定。
100‧‧‧處理腔室
101‧‧‧基板
102‧‧‧腔室主體
104‧‧‧側壁
105‧‧‧底部
106‧‧‧蓋
108‧‧‧內部容積
109‧‧‧升降銷
110‧‧‧基板傳輸埠
112‧‧‧噴淋頭組件
114‧‧‧下方板
116‧‧‧擴散板
118‧‧‧面板
119‧‧‧碟狀主體
120‧‧‧第一充氣部
122‧‧‧第三充氣部
124‧‧‧第二充氣部
126‧‧‧基板支撐
128‧‧‧升降銷
130‧‧‧支撐表面
132‧‧‧孔隙
134‧‧‧孔隙
136‧‧‧氣體孔洞
138‧‧‧中央管道
140‧‧‧處理氣體來源
142‧‧‧處理區域
144‧‧‧惰性氣體來源
150‧‧‧致動器
152‧‧‧底部表面
154‧‧‧頂部表面
170‧‧‧感應器組件
172‧‧‧支撐表面
180‧‧‧氣體輸送系統
190‧‧‧空隙
192‧‧‧中央處理單元(CPU)
194‧‧‧記憶體
196‧‧‧支援電路
198‧‧‧控制系統
201‧‧‧第一感應器裝設孔洞
202‧‧‧第二感應器裝設孔洞
203‧‧‧第三感應器裝設孔洞
210‧‧‧感應器裝設孔洞
216‧‧‧外周邊
218‧‧‧底部表面
278‧‧‧中央
302‧‧‧區域
310‧‧‧第一區域
320‧‧‧第二區域
330‧‧‧第三區域
331‧‧‧第一裝設孔洞
332‧‧‧第二裝設孔洞
333‧‧‧第三裝設孔洞
340‧‧‧第四區域
401‧‧‧第一基板
402‧‧‧第二基板
450‧‧‧第二基板接收口袋
451‧‧‧第一基板接收口袋
461‧‧‧第一量測
462‧‧‧第二量測
463‧‧‧第三量測
464‧‧‧第四量測
471‧‧‧第一感應器
472‧‧‧第二感應器
473‧‧‧第三感應器
474‧‧‧第四感應器
501‧‧‧第一致動器
502‧‧‧第二致動器
510‧‧‧箭頭
518‧‧‧外周邊
520‧‧‧箭頭
530‧‧‧虛線
551‧‧‧第一致動器
552‧‧‧第二致動器
601‧‧‧位置
611‧‧‧向上
613‧‧‧向下
618‧‧‧主幹
622‧‧‧噴淋頭支座
651‧‧‧第一致動器
652‧‧‧第二致動器
690‧‧‧中央線
710‧‧‧升降器
711‧‧‧向上
713‧‧‧向下
714‧‧‧支撐支座
715‧‧‧部分
726‧‧‧主幹
741‧‧‧裝設中心
751‧‧‧第一致動器
752‧‧‧第二致動器
781‧‧‧位置
782‧‧‧位置
790‧‧‧中央線
826‧‧‧直徑
851‧‧‧第一致動器
852‧‧‧第二致動器
910‧‧‧轉換管道
920‧‧‧感應器外殼
922‧‧‧錐形彈簧支座
924‧‧‧裝設頭
942‧‧‧甜甜圈式線圈彈簧
943‧‧‧錐形彈簧
980‧‧‧感應器
982‧‧‧感應器頭
984‧‧‧通訊連接
998‧‧‧垂直線
1001‧‧‧主體
1002‧‧‧內周邊
1008‧‧‧底部表面
1009‧‧‧頂部表面
1010‧‧‧主體
1020‧‧‧內突出部
1030‧‧‧側面開口
1032‧‧‧內周邊
1050‧‧‧中央開口
1064‧‧‧孔洞
1074‧‧‧插銷
1101‧‧‧主體
1107‧‧‧底部表面
1108‧‧‧頂部表面
1126‧‧‧孔洞
1130‧‧‧傾斜內部表面
1132‧‧‧角度
1144‧‧‧內直徑
1150‧‧‧倒角
1152‧‧‧距離
1160‧‧‧通道
1164‧‧‧孔洞
1170‧‧‧翼片
1174‧‧‧接收孔洞
1175‧‧‧內部開口
1177‧‧‧外表面
於是可以詳細理解本發明實施例的上述特徵中的方式,可藉由參考實施例而具有發明實施例的更特定描述(簡短總結如上),其中一些圖示於所附圖式中。然而,注意所附圖式僅圖示本發明典型的實施例,因此不考慮限制其範圍,因為本發明實施例可允許其他等效實施例。
第1圖為示範的電漿處理腔室的示意側面視圖,具有安裝於腔室中的噴淋頭及基板支撐。
第2圖為根據一個實施例的用於噴淋頭的底部平面視圖,具有間隔的感應器組件。
第3圖為根據另一實施例的用於噴淋頭的底部平面視圖,具有間隔的感應器組件。
第4圖為用於處理腔室的內部部分的示意側面視圖。
第5圖圖示用於噴淋頭的一個實施例,具有用於調整處理腔室內噴淋頭的位置之致動器。
第6圖圖示用於噴淋頭的另一實施例,具有用於調整處理腔室內噴淋頭的位置之致動器。
第7圖圖示用於基板支撐的一個實施例,具有用於調整處理腔室內基板支撐的位置之致動器。
第8圖圖示用於基板支撐的另一實施例,具有用於調整處理腔室內基板支撐的位置之致動器。
第9圖為基板支撐的部分橫截面等角視圖,具有裝設於背側氣體穿孔中的感應器組件。
第10A圖為錐形彈簧支座的分離板的等角視圖。
第10B圖為錐形彈簧支座的平面視圖。
第11圖為感應器外殼的裝設頭的橫截面透視視圖。
第12A至12C圖為感應器頭的範例配置。
第13A至13B圖為範例彈簧。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
100‧‧‧處理腔室
101‧‧‧基板
102‧‧‧腔室主體
104‧‧‧側壁
105‧‧‧底部
106‧‧‧蓋
108‧‧‧內部容積
110‧‧‧基板傳輸埠
112‧‧‧噴淋頭組件
114‧‧‧下方板
116‧‧‧擴散板
118‧‧‧面板
119‧‧‧碟狀主體
120‧‧‧第一充氣部
122‧‧‧第三充氣部
124‧‧‧第二充氣部
126‧‧‧基板支撐
128‧‧‧升降銷
130‧‧‧支撐表面
132‧‧‧孔隙
134‧‧‧孔隙
136‧‧‧氣體孔洞
138‧‧‧中央管道
140‧‧‧處理氣體來源
142‧‧‧處理區域
144‧‧‧惰性氣體來源
150‧‧‧致動器
152‧‧‧底部表面
154‧‧‧頂部表面
170‧‧‧感應器組件
180‧‧‧氣體輸送系統
190‧‧‧空隙
192‧‧‧中央處理單元(CPU)
194‧‧‧記憶體
196‧‧‧支援電路

Claims (20)

  1. 一種經配置以將處理氣體導入一電漿處理腔室的面板,該面板包括: 一碟狀主體;複數個孔洞,穿過該主體而形成該複數個孔洞;及一第一感應器組件,該第一感應器組件設置於該複數個孔洞之其中一者中,該第一感應器組件包括:一感應器,該感應器經配置以提供一測度(metric)以指示在一基板支撐及該第一感應器組件之間所界定的一距離。
  2. 如請求項1所述之面板,進一步包括: 設置於該主體中的至少一第二感應器組件及一第三感應器組件,可操作該第二及該第三感應器組件以提供一測度以指示放置一基板於該面板下方的一距離。
  3. 如請求項2所述之面板,其中該等感應器組件設置於相距該主體的一中央不同距離處。
  4. 如請求項2所述之面板,其中該第一、該第二及該第三感應器組件設置於該主體的一第一區域中,且其中該主體的一第二區域包含額外的感應器組件,可操作該等額外的感應器組件以提供一測度以指示放置一基板於該面板下方的一距離。
  5. 如請求項1所述之面板,其中可操作該第一感應器組件以與一控制系統無線通訊。
  6. 如請求項1所述之面板,其中該感應器在+/-3度內與垂直於該主體的一表面對齊,該表面經配置以面對一基板支撐。
  7. 如請求項1所述之面板,其中該感應器組件包括: 一基於光纖的感應器。
  8. 如請求項1所述之面板,其中該基於光纖的感應器為一Fabry-Pérot感應器。
  9. 如請求項1所述之面板,其中該感應器組件包括: 一雷射感應器。
  10. 一種處理腔室,包括: 一腔室主體,該腔室主體具有一腔室蓋、腔室壁及一腔室底部,其中該腔室主體封閉一腔室內部容積; 一基板支撐,該基板支撐具有設置於該腔室內部容積中的一基板支撐表面;及 一面板,該面板在該內部容積中由該腔室蓋支撐,該面板經配置以將處理氣體導入該電漿處理腔室,該面板包括: 一主體,該主體具有面對該基板支撐的一表面;複數個孔洞,穿過該主體而形成該複數個孔洞;及複數個感應器組件,可操作該複數個感應器組件以提供一測度以指示放置一基板於該面板下方的一距離,該基板設置於該基板支撐的該基板支撐表面上,每一感應器組件包括:一感應器,該感應器設置於穿過該主體而形成的該等孔洞之其中一者中。
  11. 如請求項10所述之處理腔室,其中該等感應器組件包括: 一基於光纖的感應器、一Fabry-Pérot感應器、或一雷射感應器之其中至少一者。
  12. 如請求項10所述之處理腔室,其中該感應器在+/-3度內與垂直於該基板支撐表面對齊。
  13. 如請求項10所述之處理腔室,其中該等感應器組件相距該基板支撐表面低於約30 mm。
  14. 如請求項13所述之處理腔室,其中該等感應器組件相距該基板支撐表面低於約5 mm。
  15. 如請求項10所述之處理腔室,其中至少一些該等感應器組件設置於相距該面板的一中央不同距離處。
  16. 如請求項10所述之處理腔室,其中可操作該等感應器組件以與一控制系統無線通訊。
  17. 一種用於在一處理腔室中監控一基板的方法,包括以下步驟: 自一個或更多個感應器組件提供一個或更多個訊號至一控制系統,該一個或更多個感應器組件設置於一面板,該面板設置於一處理腔室中,該一個或更多個訊號包含一測度以指示該面板及一基板之間的一距離,該基板設置於該面板下方的一基板支撐上;及由該測度判定該面板及該基板之間的該距離是否處於一標的窗部外部。
  18. 如請求項17所述之方法,進一步包括以下步驟: 產生至少一個警報,調整一基板製造處理,及暫停一基板製造處理,以回應於該面板及該基板之間的該距離處於一標的窗部外部。
  19. 如請求項17所述之方法,其中由該測度判定該面板及該基板之間的該距離是否處於該標的窗部外部之步驟進一步包括以下步驟: 判定該基板的一平面定向處於一處理窗部外部。
  20. 如請求項17所述之方法,其中由該測度判定該面板及該基板之間的該距離是否處於該標的窗部外部之步驟進一步包括以下步驟: 判定該基板在震動。
TW106115934A 2016-06-03 2017-05-15 用於基板距離監控的面板、處理腔室及方法 TWI673473B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662345543P 2016-06-03 2016-06-03
US62/345,543 2016-06-03

Publications (2)

Publication Number Publication Date
TW201809595A true TW201809595A (zh) 2018-03-16
TWI673473B TWI673473B (zh) 2019-10-01

Family

ID=60478960

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106115934A TWI673473B (zh) 2016-06-03 2017-05-15 用於基板距離監控的面板、處理腔室及方法

Country Status (6)

Country Link
US (1) US10648788B2 (zh)
JP (1) JP6880076B2 (zh)
KR (1) KR102113453B1 (zh)
CN (1) CN109219863B (zh)
TW (1) TWI673473B (zh)
WO (1) WO2017209901A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112074938A (zh) * 2018-05-03 2020-12-11 应用材料公司 用于流动分配调谐的通用可调式阻隔板

Families Citing this family (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107848208A (zh) * 2015-06-19 2018-03-27 应用材料公司 利用静电压实的增材制造
KR20180061136A (ko) * 2015-06-19 2018-06-07 어플라이드 머티어리얼스, 인코포레이티드 적층 제조에서의 파우더의 선택적 퇴적
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN109219863B (zh) * 2016-06-03 2021-02-09 应用材料公司 基板距离监控
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11408734B2 (en) * 2019-01-03 2022-08-09 Lam Research Corporation Distance measurement between gas distribution device and substrate support at high temperatures
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7246247B2 (ja) * 2019-05-15 2023-03-27 東京エレクトロン株式会社 基板処理装置及び監視方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20220038290A (ko) * 2019-06-04 2022-03-28 덴마크스 텍니스케 유니버시테트 원자층 공정 프린터
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7233348B2 (ja) * 2019-09-13 2023-03-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
DE102020123076A1 (de) * 2020-09-03 2022-03-03 Aixtron Se Gaseinlassorgan eines CVD-Reaktors mit zwei Einspeisestellen
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US20220108907A1 (en) * 2020-10-05 2022-04-07 Applied Materials, Inc. Semiconductor substrate support leveling apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN115769359A (zh) * 2021-04-26 2023-03-07 朗姆研究公司 用于测量衬底支撑件和气体分布装置之间的间隙的设备
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11721566B2 (en) * 2021-07-13 2023-08-08 Applied Materials, Inc. Sensor assembly and methods of vapor monitoring in process chambers
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2024002304A (ja) * 2022-06-23 2024-01-11 東京エレクトロン株式会社 成膜装置

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3142164A1 (de) * 1980-10-27 1982-06-16 Rosemount Engineering Co. Ltd., Bognor Regis, Sussex Vorrichtung zur messung von druckunterschieden
JPS57108633U (zh) * 1980-12-23 1982-07-05
JPH06244269A (ja) * 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
AU2003195A (en) * 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
JP3308775B2 (ja) * 1995-08-28 2002-07-29 三菱電機株式会社 Cvd装置
JP2000323451A (ja) * 1999-05-06 2000-11-24 Sony Corp 半導体製造装置及び2極間のギャップを調整する方法
JP4754757B2 (ja) * 2000-03-30 2011-08-24 東京エレクトロン株式会社 基板のプラズマ処理を調節するための方法、プラズマ処理システム、及び、電極組体
AU2001288225A1 (en) * 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
JP3982402B2 (ja) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
JP4186536B2 (ja) * 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
US6950774B2 (en) * 2003-01-16 2005-09-27 Asm America, Inc. Out-of-pocket detection system using wafer rotation as an indicator
JP2004289000A (ja) * 2003-03-24 2004-10-14 Hitachi Kokusai Electric Inc 基板処理装置
US7446881B2 (en) * 2005-01-12 2008-11-04 Tokyo Electron Limited System, apparatus, and method for determining temperature/thickness of an object using light interference measurements
JP5278719B2 (ja) * 2005-01-24 2013-09-04 株式会社ニコン 計測方法及び露光方法
US7593100B2 (en) * 2005-01-24 2009-09-22 Nikon Corporation Measuring method, measuring system, inspecting method, inspecting system, exposure method and exposure system, in which information as to the degree of the flatness of an object is pre-obtained
US20060196846A1 (en) * 2005-03-01 2006-09-07 Tokyo Electron Limited Plasma processing method and apparatus, and method for measuring a density of fluorine in plasma
US8173036B2 (en) * 2005-03-02 2012-05-08 Tokyo Electron Limited Plasma processing method and apparatus
KR100688836B1 (ko) * 2005-05-11 2007-03-02 삼성에스디아이 주식회사 촉매 화학기상증착장치
US7833381B2 (en) * 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
US8372203B2 (en) * 2005-09-30 2013-02-12 Applied Materials, Inc. Apparatus temperature control and pattern compensation
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
US7922863B2 (en) * 2006-12-22 2011-04-12 Applied Materials, Inc. Apparatus for integrated gas and radiation delivery
US7568378B2 (en) * 2007-01-15 2009-08-04 Ngk Spark Plug Co., Ltd. Sensor
US20080246493A1 (en) * 2007-04-05 2008-10-09 Gardner Delrae H Semiconductor Processing System With Integrated Showerhead Distance Measuring Device
KR101073229B1 (ko) * 2008-01-17 2011-10-12 도쿄엘렉트론가부시키가이샤 에칭량 산출 방법, 기억 매체 및 에칭량 산출 장치
JP2010087467A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP2010153769A (ja) * 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
US8135560B2 (en) 2009-01-30 2012-03-13 Applied Materials, Inc. Sensor system for semiconductor manufacturing apparatus
TWI425188B (zh) 2009-08-31 2014-02-01 Zygo Corp 顯微鏡系統和成像干涉儀系統
JP2011210853A (ja) * 2010-03-29 2011-10-20 Tokyo Electron Ltd 消耗量測定方法
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US9245786B2 (en) * 2011-06-02 2016-01-26 Applied Materials, Inc. Apparatus and methods for positioning a substrate using capacitive sensors
JP6016339B2 (ja) * 2011-08-12 2016-10-26 東京エレクトロン株式会社 カーボンナノチューブの加工方法及び加工装置
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
KR20150130524A (ko) * 2013-03-15 2015-11-23 어플라이드 머티어리얼스, 인코포레이티드 Ald 플래튼 서셉터의 위치 및 온도 모니터링
JP6231370B2 (ja) * 2013-12-16 2017-11-15 東京エレクトロン株式会社 消耗量測定装置、温度測定装置、消耗量測定方法、温度測定方法及び基板処理システム
US9290843B2 (en) * 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
JP6267141B2 (ja) * 2014-06-04 2018-01-24 東京エレクトロン株式会社 液塗布方法、液塗布装置、及びコンピュータ読み取り可能な記録媒体
US10196741B2 (en) * 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
US10861682B2 (en) * 2014-07-31 2020-12-08 iSenseCloud, Inc. Test wafer with optical fiber with Bragg Grating sensors
US9837254B2 (en) * 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
US10879046B2 (en) * 2015-09-11 2020-12-29 Applied Materials, Inc. Substrate support with real time force and film stress control
JP6354791B2 (ja) * 2015-10-09 2018-07-11 株式会社デンソー ガスセンサ
US10481122B2 (en) * 2016-04-27 2019-11-19 Ngk Spark Plug Co., Ltd. Gas sensor element and gas sensor
CN109219863B (zh) * 2016-06-03 2021-02-09 应用材料公司 基板距离监控
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
JP6724772B2 (ja) * 2016-12-23 2020-07-15 株式会社デンソー ガスセンサ
US10460916B2 (en) * 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
JP7122102B2 (ja) * 2017-11-08 2022-08-19 東京エレクトロン株式会社 ガス供給システム及びガス供給方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112074938A (zh) * 2018-05-03 2020-12-11 应用材料公司 用于流动分配调谐的通用可调式阻隔板

Also Published As

Publication number Publication date
KR20190002748A (ko) 2019-01-08
TWI673473B (zh) 2019-10-01
US10648788B2 (en) 2020-05-12
CN109219863A (zh) 2019-01-15
JP6880076B2 (ja) 2021-06-02
US20170350688A1 (en) 2017-12-07
JP2019518140A (ja) 2019-06-27
CN109219863B (zh) 2021-02-09
WO2017209901A3 (en) 2018-07-26
KR102113453B1 (ko) 2020-05-21
WO2017209901A2 (en) 2017-12-07

Similar Documents

Publication Publication Date Title
TWI673473B (zh) 用於基板距離監控的面板、處理腔室及方法
JP7443430B2 (ja) リアルタイムの力および膜応力制御を備えた基板支持体
KR102567811B1 (ko) 인시튜 피드백을 통한 웨이퍼 배치 및 갭 제어 최적화
JP6079980B2 (ja) 微小位置決めシステムを備える急速熱処理チャンバ
CN112470262B (zh) 用于测量工艺配件中心的方法和设备
KR102501157B1 (ko) 이동 프로세스 키트에 대한 침식을 측정하고 포지션을 교정하기 위한 방법 및 장치
TWI421945B (zh) 含有微定位系統之快速熱處理腔室與處理基材之方法
CN112640076A (zh) 显示器cvd腔室的基座的平面度测量

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees