TW201730369A - 用於基板處理系統之矽或碳化矽氣體注射器 - Google Patents

用於基板處理系統之矽或碳化矽氣體注射器 Download PDF

Info

Publication number
TW201730369A
TW201730369A TW105140736A TW105140736A TW201730369A TW 201730369 A TW201730369 A TW 201730369A TW 105140736 A TW105140736 A TW 105140736A TW 105140736 A TW105140736 A TW 105140736A TW 201730369 A TW201730369 A TW 201730369A
Authority
TW
Taiwan
Prior art keywords
tubular rod
rod segment
joint
gas injector
thread
Prior art date
Application number
TW105140736A
Other languages
English (en)
Inventor
史帝芬 愛德華 普羅亞
潔米 伯恩斯
凱文 赫松
卡爾 威廉斯
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201730369A publication Critical patent/TW201730369A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

氣體注射器包含管狀棒段,由選自由下列所組成之群組中的材料製成:矽及碳化矽。管狀棒段包括界定流體通道的本體、以及直接加工形成於其之端部上的螺紋。關節包括螺紋。管狀棒段之螺紋接合至關節之螺紋。氣體供應管連接至關節。透過螺紋可將一或更多另外的管狀棒段附接至管狀棒段以改變氣體注射器之長度。

Description

用於基板處理系統之矽或碳化矽氣體注射器
本發明係關於基板處理系統,且更具體而言,係關於用以供應處理氣體至基板處理系統中的加熱爐的氣體注射器。
提供於本文之先前技術的敘述,係為了整體地呈現本發明之背景。在先前技術部分中敘述的成果之範圍內,本案列名之發明人的成果,以及在申請期間不適格作為先前技術之敘述觀點,皆非直接或間接地被承認係對抗本發明之先前技術。
在製程的一或更多階段期間,可使用加熱爐中的基板(例如半導體晶圓)之批次處理。在加熱爐中可執行熱化學氣相沉積(CVD)或其他處理。將基板加熱到預設溫度範圍並使用氣體注射器將前驅物氣體引入加熱爐中。
氣體注射器一般係由石英或碳化矽製成。在一些情況下,沉積薄膜的熱膨脹係數(CTE)與用以製造氣體注射器之材料的CTE之間可能未充分匹配。因此,在操作期間可能發生形成於氣體注射器之內側表面的薄膜的剝離(delamination)。剝離在加熱爐中產生微粒。微粒可能掉落於基板上而增加缺陷。為避免這些缺陷,執行更頻繁的預防維護,而提高成本。
一氣體注射器包含一管狀棒段,由選自由下列所組成之群組中的材料製成:矽及碳化矽。該管狀棒段包括:一本體,界定一流體通道;以及螺紋,直接加工形成於該管狀棒段之一端部上。一關節包括螺紋。該管狀棒段之螺紋接合至該關節之螺紋。一氣體供應管連接至該關節。
在其他特徵中,該管狀棒段包括直接加工形成於該管狀棒段之對側端部上的螺紋。另一管狀棒段接合至位在該管狀棒段之該對側端部上的螺紋。該關節包括一本體,界定一空腔用以接收該管狀棒段。該關節的螺紋位在該空腔的一端部上。
在其他特徵中,該關節包括第一凹槽與第二凹槽,從該關節的一端部延伸朝向該關節的一對側端部。該管狀棒段完全由該材料所製成。
一氣體注射器包含第一管狀棒段,包括:一本體,界定一流體通道;外螺紋,直接加工形成於該第一管狀棒段之一端部上;以及內螺紋,直接加工形成於該第一管狀棒段之一對側端部上。第二管狀棒段,包括:一本體,界定一流體通道;外螺紋,直接加工形成於該第二管狀棒段之一端部上;以及內螺紋,直接加工形成於該第二管狀棒段之一對側端部上。該第一管狀棒段及該第二管狀棒段由選自由下列所組成之群組中的材料製成:矽及碳化矽。該第二管狀棒段之一端部以螺紋附接至該第一管狀棒段之一端部。該第一管狀棒段及該第二管狀棒段的流體通道流體連通。
在其他特徵中,第三管狀棒段包括:一本體,界定一流體通道;外螺紋,直接加工形成於該第三管狀棒段之一端部上;以及內螺紋,直接加工形成於該第三管狀棒段之一對側端部上。該第三管狀棒段之一端部以螺紋附接至該第二管狀棒段之一對側端部。該第三管狀棒段由選自由下列所組成之群組中的材料製成:矽及碳化矽。該第三管狀棒段及該第二管狀棒段的流體通道流體連通。
在其他特徵中,一關節以螺紋附接至該第一管狀棒段及該第二管狀棒段中之一者。一氣體供應管連接至該關節。該氣體供應管包含流體通道,與該第一管狀棒段及該第二管狀棒段中之該者的流體通道流體連通。該關節包括一本體,界定一空腔用以接收該第一管狀棒段及該第二管狀棒段中之該者的一外側直徑。
在其他特徵中,該關節包括第一凹槽與第二凹槽,從該關節的一端部延伸朝向該關節的一對側端部。該第一管狀棒段及該第二管狀棒段完全由該材料所製成。
一氣體注射器,包含N個管狀棒段,完全由選自由下列所組成之群組中的材料製成:矽及碳化矽。N為大於1的整數。該N個管狀棒段中之各者包括:一本體,界定一流體通道;以及螺紋,直接加工形成於該者之對側端部上。該N個管狀棒段透過該螺紋而連接在一起。一關節連接至該N個管狀棒段。一氣體供應管,連接至該關節。
在其他特徵中,該關節包括螺紋,接合至位在該N個管狀棒段中之一者上的螺紋。該關節包括一本體,界定一空腔用以接收該N個管狀棒段中之該者。該關節的螺紋位在該空腔的一端部上。
在其他特徵中,該關節包括第一凹槽與第二凹槽,從該關節的一端部延伸朝向該關節的一對側端部。
從詳細的實施方式、申請專利範圍,以及圖式,本發明的實用性的更遠範圍將變得明顯。該詳細的實施方式與具體的例子僅是為了描述之目的,而非欲限制本發明之範疇。
本發明係關於包括複數管狀棒段的氣體注射器。各個管狀棒段具有圓柱狀本體及內側流體通道,以允許傳送處理氣體。在一些例子中,管狀棒段可完全由矽(Si)及碳化矽(SiC)所製成,但亦可使用其他的材料。
使用機械性螺紋將二或更多管狀棒段連接在一起以提供可變長度。機械性螺紋係直接加工形成於管狀棒段的端部。螺紋消除了對於其他類型之附接(例如黏劑結合)的需求。一旦螺紋接合在一起,管狀棒段即形成單一、整體的氣體注射器管,其輸送處理氣體至加熱爐或其他基板處理腔室。
現參考圖1,圖示設置在加熱爐10中的氣體注射器之範例。雖然圖示特定類型的加熱爐,但本文中所述的氣體注射器可與其他類型的加熱爐或其他基板處理設備一起使用。加熱爐10圖示為包括絕熱外罩12。加熱線圈14設置在絕熱外罩12之內側。可透過功率供應器(未圖示)供應功率至加熱線圈14。
在加熱線圈14及絕熱外罩12之內側可設置內部容器16。可使用配適於內部容器16中的內襯層18。基板固持器20位於支座22上。在處理期間,基板固持器20及支座22大致上被內襯層18圍繞。基板固持器20可包括垂直向排列的凹槽,用以在熱處理期間固持複數基板。基板可為半導體晶圓。
氣體注射器24包括供應管25、關節26、及以螺紋連接在一起的複數管狀棒段27-1、27-2、…、及27-N(統稱為管狀棒段27)(其中N為大於1的整數)。在一些範例中,供應管25由不鏽鋼製成,但可使用其他材料。氣體注射器24可設置在基板固持器20與內襯層18之間。氣體注射器24包括一出口,位於其上方端部並用以將處理氣體引入內襯層18之內。
可使用真空泵浦(未圖示)以通過內部容器16之底部將處理氣體排空。可將絕熱外罩12、內部容器16、及內襯層18垂直地抬起以允許晶圓傳送進出基板固持器20,但在一些形構中,這些元件維持固定,而一升降器(未圖示)將基板固持器20及支座22抬起及降下以使基板固持器20及支座22進出加熱爐10。
現參考圖2,更詳細圖示氣體注射器24。管狀棒段27包括直接機械加工於其端部上的外螺紋及內螺紋40、42。例如,管狀棒段27-1包括內螺紋42,與位在相鄰的管狀棒段27-2上的外螺紋40配合。以類似方式將其他管狀棒段連接以提供可變長度。關節26包括位於其上方端部的內螺紋42,與位在管狀棒段27-1上的外螺紋40配合。供應管25可結合、以螺紋接合、或以其他方式附接至關節26之下方端部。
現參考圖3,更詳細圖示管狀棒段27之範例。管狀棒段27包括本體39。外螺紋40機械加工於其外側表面上。管狀棒段27包括外側直徑44及界定流體通道54的內側直徑46。管狀棒段27更包括通往流體通道54的第一開口48,可作為流體入口或出口。外螺紋40的徑向外側直徑可相對於外側直徑44而向內側間隔開,使得外螺紋40被接收於對應的內螺紋42之內側。
現參考圖4,更詳細圖示管狀棒段27之範例。管狀棒段27包括機械加工於其內側表面上的內螺紋42。管狀棒段27更包括通往流體通道54的第二開口72,可作為流體入口或出口。
現參考圖5到7B,圖示關於關節26的其他細節。在圖5中,關節26包括本體73,界定用以接收管狀棒段27-1的空腔80。關節26包括形成在其相對的側面上的凹槽82及84,凹槽82及84從關節26之上部延伸到與關節26之下部間隔開的一點。供應管25接收在關節26之下部。在圖6中,管狀棒段27-1插入空腔80中,且外螺紋40由關節26的內螺紋42接收。為降低在溫度改變期間可能造成的破壞,凹槽82及84提供對於管狀棒段27-1的物理支撐以增加結構強度及彈性。
在圖7A及7B中,流體通道90界定通過供應管25的中央。關節26中的流體通道92將流體通道90流體連接至管狀棒段27-1的流體通道54。關節的內側直徑94提供足夠的間距以接收管狀棒段27-1的外側直徑。
現參考圖8,圖示製造氣體注射器之方法150之範例。在152,成長矽晶棒。在154,執行矽晶棒之中心鑽孔以產生整塊的管狀棒段。在158,在整塊的管狀棒段上執行導線放電加工(electrical discharge manufacturing, EDM)以得到期望的長度並使管狀棒段的端部表面平滑化,但亦可使用其他方法。在162,亦使用EDM以在管狀棒段中形成流體通道,但亦可使用其他方法。
在164,在管狀棒段的端部上執行電腦數值控制(CNC)加工以形成外螺紋及內螺紋,但亦可使用其他方法。在一些範例中,可使用鑽石端鑽孔器(diamond tipped drill)。在CNC加工期間可使用潤滑,例如水或以油為基礎的潤滑劑。就執行CNC加工所需要的時間而言,調整速度與饋送設定以最佳化可能對管狀棒段造成的破壞。在其他範例中,使用延性模式加工(ductile mode machining)將螺紋加工形成於管狀棒段上,如共同受讓之美國專利案第8893702號“Ductile Mode Machining Methods for Hard and Brittle Components of Plasma Processing Apparatus”中所述,該案之核准日為2014年11月25日,並以全文加入本案之參考資料。
在166,清潔管狀棒段。在168,在管狀棒段上執行表面化學處理。在170,在表面化學處理之後再次清潔管狀棒段。在174,執行另外的清潔步驟作為最終清潔操作。僅作為範例,可使用氟化氫(HF)。
現參考圖9,圖示組裝氣體注射器的方法200。在204,提供N個管狀棒段、關節、及供應管,其中N為大於或等於1的整數。在212,將N個棒以螺紋接合在一起(其中N大於1)然後以螺紋接合至關節。將氣體供應管連接至關節。在一些範例中,使用黏著劑連接氣體供應管,但可使用其他連接方法。在214,在使用之前可將氣體注射器密封及時效處理。僅作為範例,可在氣體注射器之內側部分上沉積薄膜以將氣體注射器密封。在216,將氣體注射器安裝於加熱爐中並用於製程中。在一些範例中,在熱化學氣相沉積(CVD)處理期間使用氣體注射器,例如摻雜或未摻雜多晶矽的沉積處理,但可執行其他處理。
前文的敘述僅係本質上地說明,而非意欲限制本發明、其應用或使用。本發明廣泛的教示可以各式各樣的形式執行。因此,即使本發明包含具體的例子,本發明的真正範圍不應如此受限制,因為一旦研讀圖式、說明書與下列之申請專利範圍,其他修改將變得顯而易見。應瞭解,可在不改變本揭露內容之原則的情況下,以不同的順序(或同時)執行方法中的一或更多的步驟。再者,雖然前文中將每一實施例描述為具有某些特徵,但所述之關於本發明之任一實施例的該等特徵的任一或更多者可在任何其他實施例中實行、及/或可與任何其他實施例的特徵組合,即使未明確地描述該組合。換句話說,所描述的實施例並非係互相排斥的,且一或更多實施例之間互相的置換仍屬於本發明的範疇。
10‧‧‧加熱爐
12‧‧‧絕熱外罩
14‧‧‧加熱線圈
16‧‧‧內部容器
18‧‧‧內襯層
20‧‧‧基板固持器
22‧‧‧支座
24‧‧‧氣體注射器
25‧‧‧供應管
26‧‧‧關節
27‧‧‧管狀棒段
39‧‧‧本體
40‧‧‧外螺紋
42‧‧‧內螺紋
44‧‧‧外側直徑
46‧‧‧內側直徑
48‧‧‧第一開口
54‧‧‧流體通道
72‧‧‧第二開口
73‧‧‧本體
80‧‧‧空腔
82‧‧‧凹槽
84‧‧‧凹槽
90‧‧‧流體通道
92‧‧‧流體通道
150‧‧‧方法
152‧‧‧步驟
154‧‧‧步驟
158‧‧‧步驟
162‧‧‧步驟
164‧‧‧步驟
166‧‧‧步驟
168‧‧‧步驟
170‧‧‧步驟
174‧‧‧步驟
200‧‧‧方法
204‧‧‧步驟
212‧‧‧步驟
214‧‧‧步驟
216‧‧‧步驟
從詳細的實施方式及隨附圖式,將能更完整地理解本發明,其中:
圖1根據本發明,為包括氣體注射器之加熱爐之範例的剖面圖;
圖2根據本發明,為氣體注射器之範例的側視組合分解圖;
圖3及4為加工形成於氣體注射器之管狀棒段的端部上的外螺紋及內螺紋之範例的側視剖面圖;
圖5及6根據本發明,為氣體注射器之範例的立體圖;
圖7A及7B根據本發明,為連接件及關節之範例的側視及端視剖面圖;
圖8根據本發明,為製造氣體注射器之螺紋管狀棒段的方法的流程圖;以及
圖9根據本發明,為組裝氣體注射器的方法的流程圖。
在該等圖式中,參考數字可重複使用來標示相似及/或相同的元件。
10‧‧‧加熱爐
12‧‧‧絕熱外罩
14‧‧‧加熱線圈
16‧‧‧內部容器
18‧‧‧內襯層
20‧‧‧基板固持器
22‧‧‧支座
24‧‧‧氣體注射器
25‧‧‧供應管
26‧‧‧關節
27‧‧‧管狀棒段

Claims (17)

  1. 一種氣體注射器,包含: 一管狀棒段,由選自由下列所組成之群組中的材料製成:矽及碳化矽; 其中該管狀棒段包括:一本體,界定一流體通道;以及螺紋,直接加工形成於該管狀棒段之一端部上; 一關節,包括螺紋,其中該管狀棒段之螺紋接合至該關節之螺紋;以及 一氣體供應管,連接至該關節。
  2. 如申請專利範圍第1項之氣體注射器,其中該管狀棒段包括直接加工形成於該管狀棒段之對側端部上的螺紋。
  3. 如申請專利範圍第2項之氣體注射器,更包含另一管狀棒段,接合至位在該管狀棒段之該對側端部上的螺紋。
  4. 如申請專利範圍第1項之氣體注射器,其中該關節包括一本體,界定一空腔用以接收該管狀棒段,其中該關節的螺紋位在該空腔的一端部上。
  5. 如申請專利範圍第1項之氣體注射器,其中該關節包括第一凹槽與第二凹槽,從該關節的一端部延伸朝向該關節的一對側端部。
  6. 如申請專利範圍第1項之氣體注射器,其中該管狀棒段完全由該材料所製成。
  7. 一種氣體注射器,包含: 第一管狀棒段,包括:一本體,界定一流體通道;外螺紋,直接加工形成於該第一管狀棒段之一端部上;以及內螺紋,直接加工形成於該第一管狀棒段之一對側端部上;以及 第二管狀棒段,包括:一本體,界定一流體通道;外螺紋,直接加工形成於該第二管狀棒段之一端部上;以及內螺紋,直接加工形成於該第二管狀棒段之一對側端部上; 其中該第一管狀棒段及該第二管狀棒段由選自由下列所組成之群組中的材料製成:矽及碳化矽; 其中該第二管狀棒段之一端部以螺紋附接至該第一管狀棒段之一端部;並且 其中該第一管狀棒段及該第二管狀棒段的流體通道流體連通。
  8. 如申請專利範圍第7項之氣體注射器,更包含: 第三管狀棒段,包括:一本體,界定一流體通道;外螺紋,直接加工形成於該第三管狀棒段之一端部上;以及內螺紋,直接加工形成於該第三管狀棒段之一對側端部上; 其中該第三管狀棒段之一端部以螺紋附接至該第二管狀棒段之一對側端部; 其中該第三管狀棒段由選自由下列所組成之群組中的材料製成:矽及碳化矽;並且 其中該第三管狀棒段及該第二管狀棒段的流體通道流體連通。
  9. 如申請專利範圍第7項之氣體注射器,更包含一關節,以螺紋附接至該第一管狀棒段及該第二管狀棒段中之一者。
  10. 如申請專利範圍第9項之氣體注射器,更包含連接至該關節的一氣體供應管,其中該氣體供應管包含流體通道,與該第一管狀棒段及該第二管狀棒段中之該者的流體通道流體連通。
  11. 如申請專利範圍第10項之氣體注射器,其中該關節包括一本體,界定一空腔用以接收該第一管狀棒段及該第二管狀棒段中之該者的一外側直徑。
  12. 如申請專利範圍第11項之氣體注射器,其中該關節包括第一凹槽與第二凹槽,從該關節的一端部延伸朝向該關節的一對側端部。
  13. 如申請專利範圍第7項之氣體注射器,其中該第一管狀棒段及該第二管狀棒段完全由該材料所製成。
  14. 一種氣體注射器,包含: N個管狀棒段,完全由選自由下列所組成之群組中的材料製成:矽及碳化矽; 其中N為大於1的整數; 其中該N個管狀棒段中之各者包括:一本體,界定一流體通道;以及螺紋,直接加工形成於該者之對側端部上;並且 其中該N個管狀棒段透過該螺紋而連接在一起; 一關節,連接至該N個管狀棒段;以及 一氣體供應管,連接至該關節。
  15. 如申請專利範圍第14項之氣體注射器,其中該關節包括螺紋,接合至位在該N個管狀棒段中之一者上的螺紋。
  16. 如申請專利範圍第15項之氣體注射器,其中該關節包括一本體,界定一空腔用以接收該N個管狀棒段中之該者,其中該關節的螺紋位在該空腔的一端部上。
  17. 如申請專利範圍第14項之氣體注射器,其中該關節包括第一凹槽與第二凹槽,從該關節的一端部延伸朝向該關節的一對側端部。
TW105140736A 2015-12-09 2016-12-09 用於基板處理系統之矽或碳化矽氣體注射器 TW201730369A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/963,698 US20170167023A1 (en) 2015-12-09 2015-12-09 Silicon or silicon carbide gas injector for substrate processing systems

Publications (1)

Publication Number Publication Date
TW201730369A true TW201730369A (zh) 2017-09-01

Family

ID=59018482

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105140736A TW201730369A (zh) 2015-12-09 2016-12-09 用於基板處理系統之矽或碳化矽氣體注射器

Country Status (4)

Country Link
US (1) US20170167023A1 (zh)
KR (1) KR20170070827A (zh)
CN (1) CN106967963A (zh)
TW (1) TW201730369A (zh)

Families Citing this family (190)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
JP7061982B2 (ja) * 2019-04-19 2022-05-02 信越化学工業株式会社 シリコン芯線
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) * 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1244911A (en) * 1969-01-09 1971-09-02 British Iron Steel Research Improvements in and relating to ceramic recuperators
JPH0719143Y2 (ja) * 1990-04-26 1995-05-01 日本エー・エス・エム株式会社 ガス導入装置を有するcvd装置
JPH0719143A (ja) * 1993-06-30 1995-01-20 Ngk Spark Plug Co Ltd 燃料噴射弁付き点火プラグのプラグキャップ
JPH08310892A (ja) * 1995-05-16 1996-11-26 Komatsu Electron Metals Co Ltd 半導体単結晶製造における供給素材
KR100825356B1 (ko) * 2004-09-16 2008-04-28 가부시키가이샤 히다치 고쿠사이 덴키 열처리장치 및 기판의 제조방법
US20060185589A1 (en) * 2005-02-23 2006-08-24 Raanan Zehavi Silicon gas injector and method of making
WO2011038242A2 (en) * 2009-09-25 2011-03-31 Ferrotec (Usa) Corporation Hybrid gas injector

Also Published As

Publication number Publication date
KR20170070827A (ko) 2017-06-22
US20170167023A1 (en) 2017-06-15
CN106967963A (zh) 2017-07-21

Similar Documents

Publication Publication Date Title
TW201730369A (zh) 用於基板處理系統之矽或碳化矽氣體注射器
TWI513848B (zh) 混合氣體注射器
US9556507B2 (en) Yttria-based material coated chemical vapor deposition chamber heater
US11692732B2 (en) Air cooled faraday shield and methods for using the same
TWI388244B (zh) 利用清洗cvd腔室的遠端感應耦合電漿源
US9837250B2 (en) Hot wall reactor with cooled vacuum containment
JP4209057B2 (ja) セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
JP3572247B2 (ja) 半導体熱処理炉用ガス導入管
TW201945580A (zh) 基材製程裝置及方法
CN102468208B (zh) 卡盘和半导体处理装置
WO2009090899A1 (ja) 載置台装置、処理装置および温度制御方法
TWI801413B (zh) 具有加熱的噴淋頭組件之基板處理腔室
TW200826746A (en) Apparatus for porous material densification
US20060207506A1 (en) Heated gas line body feedthrough for vapor and gas delivery systems and methods of employing same
WO2018180380A1 (ja) 流体加熱器、流体制御装置、および流体加熱器の製造方法
EP2481082B1 (en) Hybrid gas injector
CN117107221A (zh) 基座支撑件
US20220332627A1 (en) Wire-drawing optical fiber base material manufacturing method and manufacturing apparatus
CN114420604A (zh) 工艺腔室组件、半导体工艺设备及其方法
KR20090019788A (ko) 가열장치
JP2019526524A (ja) ガラス製造装置及び方法
WO2022010557A1 (en) Multiple-channel showerhead design and methods in manufacturing
JP6197132B1 (ja) 配管系への排気物の付着堆積を防止する配管系部品
WO2020163074A1 (en) Multi channel splitter spool
US20210305017A1 (en) Inductively coupled plasma chamber heater for controlling dielectric window temperature