TW201635414A - 矽膜之成膜方法及成膜裝置 - Google Patents

矽膜之成膜方法及成膜裝置 Download PDF

Info

Publication number
TW201635414A
TW201635414A TW104142881A TW104142881A TW201635414A TW 201635414 A TW201635414 A TW 201635414A TW 104142881 A TW104142881 A TW 104142881A TW 104142881 A TW104142881 A TW 104142881A TW 201635414 A TW201635414 A TW 201635414A
Authority
TW
Taiwan
Prior art keywords
film
processed
gas
processing chamber
ruthenium
Prior art date
Application number
TW104142881A
Other languages
English (en)
Other versions
TWI614830B (zh
Inventor
岡田充弘
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201635414A publication Critical patent/TW201635414A/zh
Application granted granted Critical
Publication of TWI614830B publication Critical patent/TWI614830B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

本發明係一種矽膜之成膜方法,將矽膜成膜於被處理體之被處理面上,其包含以下步驟:氣體處理步驟,使用氧氣與氫氣將被處理體之被處理面加以氣體處理;及成膜步驟,將矽膜成膜於經氣體處理的被處理面上;且在同一處理室內中進行此等步驟。

Description

矽膜之成膜方法及成膜裝置
本發明係關於矽膜之成膜方法及成膜裝置。
被處理體之被處理面,例如,矽晶圓之被處理面上,會附著有包括有機物等等各種雜質。附著於被處理面上的雜質,會成為阻礙矽原料吸附至被處理面上的要因。矽原料吸附至被處理面上受到阻礙時,產核密度降低,而將會使表面胡亂地粗糙的矽膜成膜於被處理面上。
因此,在將矽膜加以成膜之前,進行附著被處理面上的雜質之去除。例如已知有種處理方法及處理裝置,係將被處理體收容於反應室(處理室),並將該反應室內的溫度加熱至350~400℃,藉由氧化性氣體(氧氣)及還原性氣體(氫氣)而去除附著於被處理體的有機物。
又,已知一種多結晶矽膜之成膜方法,在將多結晶矽膜加以成膜之前,於反應管之中將被處理體表面暴露於臭氧氣體環境,移除吸附於被處理體表面的吸附分子之後,在同一反應管(處理室)之中將多結晶矽膜加以成膜。
[發明所欲解決之問題] 在半導體積體電路裝置等電子製品的製造領域中,要求矽膜的更加薄膜化。然而,現狀於矽膜之成膜前進行的處理,例如,清洗處理或雜質去除處理中,對於厚的矽膜而言能達成充分的表面粗糙度,但欲將薄的矽膜加以成膜時,表面粗糙度會惡化,所要求的高精度的表面粗糙度不易達成。
本發明提供一種矽膜之成膜方法及可實施該成膜方法之成膜裝置,即使係將薄的矽膜加以成膜之情形,亦能達成高精度的表面粗糙度。 [解決問題之技術手段]
本發明第1態樣之矽膜之成膜方法係將矽膜成膜於被處理體的被處理面上,其包含以下步驟:步驟(1),將該被處理體的該被處理面,使用氧氣與氫氣加以氣體處理;及步驟(2),將矽膜成膜於經該氣體處理的該被處理面上;且在同一處理室內進行該步驟(1)與該步驟(2)。
本發明第2態樣之成膜裝置係將矽膜成膜於被處理體的被處理面上,其包含:處理室,對於該被處理體施加處理;搬入搬出裝置,將該被處理體搬入及搬出該處理室;氣體供給機構,將氧氣、氫氣、及矽原料氣體至少供給至該處理室內;加熱裝置,將該處理室內予以加熱;排氣裝置,將該處理室內加以排氣;及控制器,控制該搬入搬出裝置、該氣體供給機構、該加熱裝置、與該排氣裝置;且該控制器控制該氣體供給機構、該加熱裝置、該排氣裝置以在該處理室內對於該被處理體實施上述第1態樣之矽膜之成膜方法。
[實施發明之較佳形態] 以下,參照附加圖式詳述本說明書之各種實施形態。在下述詳細說明中,提供許多具體細節以便能充分理解本說明書。然而,所屬技術領域中具有通常知識者無須此種說明可顯而易知本說明書。在其他例中,為避免使得各種實施形態不易理解,並未詳細顯示各種公知方法、程序、系統或構成元件。
在本發明之實施形態的說明之前,先說明本願發明者的見解。在將矽膜加以成膜之前,使用清洗裝置而清洗被處理體,例如,矽晶圓等半導體晶圓(以下稱為晶圓)之被處理面。清洗裝置中的清洗採用濕式清洗。就濕式清洗而言,例如,廣泛實施有使用將氨水、過氧化氫水、及水混合後的清洗液之APM(ammonium hydrogen-peroxide mixture)清洗處理。
APM清洗處理如眾所皆知,能夠有效地去除附著於被處理面上的微粒或包括有機物的雜質。然而,在APM清洗處理中,欲將矽膜薄膜化成現狀以下,例如,薄膜化成2.5nm以下時,觀測到有表面粗糙度會惡化之傾向。
就本原因而言,可認為再將薄膜化的矽膜加以成膜之情形,被處理面之潔淨度係無法對應於所要求之表面粗糙度的水準。所以,就擾亂被處理面之潔淨度的要因之一而言,推測是否與自清洗處理後至開始矽膜之成膜為止之間的經過時間有關係。經過時間,大致上係自清洗裝置至成膜裝置為止的搬運時間與成膜裝置在載入區域部的待機時間之總計時間。 (雜質量與經過時間之關係)
圖1係顯示被處理面上的雜質量與經過時間之關係,圖2係說明測量實驗與實際製程之對應關係。
圖1分別顯示就雜質而言,氧(O)、氟(F)及碳(C)之量經過時間0小時(0H),4小時(4H)及8小時(8H)。
又,使用於雜質量之測量實驗的樣本晶圓Wsamp,係如圖2所示,藉由將矽晶圓1之表面加以熱氧化而形成由熱氧化膜所構成的矽氧化物膜2,並將監控用非晶矽膜51形成於矽氧化物膜2上而得。其次,將監控用非晶矽膜51之表面暫定為被處理面,使用清洗裝置61施加濕式清洗即APM清洗處理於監控用非晶矽膜51之表面。樣本晶圓Wsamp於APM清洗處理之後,使用異丙醇(IPA)而在清洗裝置61使IPA乾燥。
此後,將經APM清洗處理及使IPA乾燥的樣本晶圓Wsamp放置0小時、4小時及8小時。放置的時間係重現經過時間,設想為使用FOUP63自清洗裝置61至成膜裝置62的搬運時間,與在成膜裝置62之載入區域部中的待機時間。
分別經過0小時、4小時及8小時後,在成膜裝置62的成膜部將非晶矽膜3形成於監控用非晶矽膜51上。將非晶矽膜3加以形成之後,於監控用非晶矽膜51與非晶矽膜3之界面附近,使用二次離子質量分析法(SIMS)分析氧(O)、氟(F)、碳(C)之量。將該分析結果顯示於圖1。
圖1所示,隨著經過時間變長,監控用非晶矽膜51與非晶矽膜3之界面附近,亦即被處理面中的雜質之量,微量地確實有增加。此傾向佐證自清洗處理後至開始矽膜之成膜為止之間的經過時間,與雜質量之間有密切的關係。其理由,認為是即使在潔淨大氣中仍極微量地存在的雜質附著於被處理面。
現在根據本見解改善矽膜之成膜方法。以下,說明經改善的矽膜之成膜方法作為本發明之第1實施形態。在實施形態的說明之際,於全圖中,共通的部分標註共通的元件符號。 (第1實施形態) <矽膜之成膜方法>
圖3係顯示本發明第1實施形態的矽膜之成膜方法的一例之流程圖,圖4A~圖4E係概略性顯示圖3所示順序中的被處理體之狀態的剖視圖。
首先,如圖4A所示,準備例如矽晶圓(以下稱為晶圓)1作為被處理體。晶圓1的表面上形成有矽氧化物膜2。矽氧化物膜2可係將晶圓1加以熱氧化而形成,亦可係將矽沉積於晶圓1上而形成。矽氧化物膜2的表面係矽膜所成膜的被處理面,被處理面為了去除包括有機物的汙染物而施行有例如APM清洗處理等清洗處理。
其次,如圖3的步驟1及圖4B所示,將已施行清洗處理的晶圓1自清洗裝置61搬運至成膜裝置62的成膜部,亦即,將成膜處理施行於被處理體的處理室101,並搬入至處理室101內。
其次,如圖3的步驟2所示,將處理室101內自大氣壓進行真空抽吸之後,進行處理室101內之壓力調整。又,若有溫度調整之必要,則因應於此而進行處理室101內之溫度調整。
其次,如圖3的步驟3、及圖4C所示,將晶圓1的被處理面,使用氧(O2 )氣體與氫(H2 )氣體加以氣體處理。步驟3之處理條件的一例如下: O2 氣體流量:1700sccm H2 氣體流量:1000sccm 處理時間:30~180min 處理溫度:300℃ 處理壓力:約47Pa(0.35Torr) (在本說明書係將1Torr定義為133.3Pa)。
藉由步驟3中的氣體處理,自晶圓1的被處理面,在本例中係矽氧化物膜2的表面去除包括有機物的雜質。步驟3係在使用外部清洗處理裝置的清洗處理(預清潔)之後,再於矽膜的成膜前在處理室內加以清洗,可說是所謂的原位預清潔之步驟。 (有機物之去除狀況與被處理體之氧化狀況) <有機物之去除狀況>
步驟3可使用的氣體有2種。1種為臭氧(O3 )氣體,另1種為將氧(O2 )氣體與氫(H2 )氣體混合後的混合氣體。
為了確認有機物的去除狀況,將樣本晶圓的被處理面使用有機物即鄰苯二甲酸二辛酯(以下稱為DOP)加以強制汙染。其次,分別以雙方的氣體進行氣體處理,確認DOP的去除狀況。DOP的去除狀況之確認在以下條件進行: ・無氣體處理 ・O3 氣體處理(300℃,5min,O3 =200g/Nm3 ) ・O2 氣體+H2 氣體處理(300℃,30min,H2 =10%)。 並使用飛行時間型二次離子質量分析法(TOF-SIMS)來測量飛散的DOP分解物數量。
圖5係顯示DOP分解物數量之測量結果,圖6係顯示測量到的DOP及DOP分解物。
如圖5所示,未進行氣體處理的樣本晶圓之情形,分別測量到DOP分解物m/z=57約8萬個,DOP分解物m/z=104約1萬個,DOP分解物m/z=149約5萬個。
相對而言,經O3 氣體處理及O2 氣體+H2 氣體處理的樣本晶圓之情形,減少至DOP分解物m/z=57約1萬2~3千個,DOP分解物m/z=104約2千個,DOP分解物m/z=149約7~8千個。
由此結果確認,有機物之去除效果在O3 氣體處理及O2 氣體+H2 氣體處理雙方均係幾乎同等水準。 <被處理體之氧化狀況>
其次確認將臭氧氣體及氧氣與氫氣混合後的混合氣體各自之氧化力。
氧化力之確認係在以下條件進行: ・裸矽狀態下 ・O3 氣體處理(300℃,5min,O3 =200g/Nm3 ) ・O2 氣體+H2 氣體處理(300℃,30min,H2 =10%)。 並將裸矽,例如矽晶圓加以氧化,測量形成於裸矽表面的矽氧化物膜之膜厚。
圖7係顯示矽氧化物膜之膜厚的測量結果。
如圖7所示,裸矽即使在原本狀態下,表面亦形成有約1.05nm的自然矽氧化物膜。將裸矽加以O2 氣體+H2 氣體處理的情形,裸矽的表面形成有約1.10nm的矽氧化物膜,將裸矽加以O3 氣體處理的情形,裸矽的表面形成有約1.37nm的矽氧化物膜。
由此結果確認,相較於將氧氣與氫氣混合後的混合氣體而言,臭氧氣體氧化力強。
利用O2 氣體+H2 氣體處理形成的矽氧化物膜之膜厚約1.1nm,與自然矽氧化物膜的膜厚約1.05nm僅有0.05nm之差。此即表示,氧氣與氫氣之混合氣體雖比起大氣更具有氧化力,但氧化力幾乎沒差,O2 氣體+H2 氣體處理所致的氧化力極為纖細。
相對於此,利用O3 氣體處理形成的矽氧化物膜之膜厚約1.37nm,與自然矽氧化物膜之膜厚約1.05nm具有0.32nm之差。意即,O3 氣體處理比起O2 氣體+H2 氣體處理而言,將裸矽氧化約6倍以上。如此,臭氧氣體比起氧氣與氫氣之混合氣體而言,氧化力極強。
臭氧氣體及氧氣與氫氣之混合氣體均如圖5所示,在有機物之去除係有效。然而,臭氧氣體比起氧氣與氫氣之混合氣體而言氧化力極強。因此,有可能將會無謂地使矽膜所成膜的被處理面粗糙。為了將薄膜化的矽膜,例如目標膜厚在2.5nm以下而將矽膜成膜於被處理面上,希望盡可能地抑制被處理面之粗糙。
又,將矽膜加以成膜時,處理室101的內壁等,未預料之處亦成膜有矽膜。成膜於未預期之處的矽膜,因為並非成膜於原本待成膜之處的膜,所以相較於成膜於被處理面上的矽膜而言,膜質差。膜質差的矽膜,受到具有強氧化力的臭氧氣體所氧化時,膜會剝落,會成為發生微粒的原因。發生在處理室101內的微粒附著於被處理面時,會降低成膜的矽膜之膜質,使得表面粗糙度惡化。
所以,在將薄膜化的矽膜加以成膜之前有進行的氣體處理(步驟3)中,宜如本實施形態地使用氧化力比臭氧氣體弱的氧氣與氫氣之混合氣體。因此,相較於使用臭氧氣體之情形而言,能抑制成膜的矽膜之膜質降低或表面粗糙度惡化。
又,於氣體處理使用氧氣與氫氣之混合氣體,相較於使用臭氧氣體之情形而言,亦可得到能調節氧化力之優點。例如,提昇氫氣之流量,降低氧氣之流量時,能將混合氣體之氧化力調節成減弱,反之降低氫氣之流量,提昇氧氣之流量時,能將混合氣體之氧化力調節成增強。而且,能極為纖細地進行此種氧化力之調節。此係因為上述混合氣體本身之氧化力弱。
其次,如圖3之步驟4所示,將處理室101內加以真空抽吸之後,將處理室101內藉由鈍性氣體例如氮(N2 )氣體加以吹淨。
其次,如圖3之步驟5、及圖4D所示,將矽膜3成膜於晶圓1經氣體處理的被處理面上。就矽原料氣體而言,可使用眾所皆知的矽原料氣體,在本例中使用二矽烷(Si2 H6 )氣體。步驟5之處理條件的一例如下, Si2 H6 氣體流量:350sccm 處理時間:100min 處理溫度:380℃ 處理壓力:133.3Pa(1Torr)。
步驟5中的矽膜之成膜使得晶圓1的被處理面,在本例中為矽氧化物膜2的表面上,成膜有膜厚約5.09~5.19nm左右的矽膜3。另,以上述處理條件成膜的矽膜3並非多結晶矽膜,而係非晶矽膜。
其次,如圖3之步驟6所示,將處理室101內加以真空抽吸之後,將處理室101內藉由鈍性氣體例如氮(N2 )氣體加以吹淨。
其次,如圖3之步驟7所示,將處理室101內的壓力回復至大氣壓。
其次,如圖3之步驟8、及圖4E所示,將成膜有矽膜3的晶圓1自處理室101搬出。藉此,結束依據第1實施形態之矽膜之成膜方法之矽膜的成膜順序。 <氣體處理時間與矽膜的膜厚之關係>
圖8係顯示氣體處理時間與矽膜的膜厚之關係。
圖8顯示有對於晶圓1之被處理面事前施加利用清洗裝置61之清洗處理的情形(無利用清洗裝置61之清洗),及施加清洗處理的情形(有利用清洗裝置61之清洗)之2個實測例。清洗裝置61中進行的清洗處理,在本例中係APM清洗處理。
另,氣體處理之條件的一例如下: O2 氣體流量:1700sccm H2 氣體流量:1000sccm 處理時間:30min 處理溫度:300℃ 處理壓力:約47Pa(0.35Torr)。又,矽成膜之條件的一例顯示於圖8中。
如圖8所示,於左欄記載“無利用清洗裝置之清洗”,以及於右欄記載“有利用清洗裝置之清洗”雙方的情形中,相較於“無氣體處理”而言,經“O2 氣體+H2 氣體處理”的一方,例如以100min的矽成膜時間所成膜的矽膜之膜厚的一方變厚。此係顯示藉由進行氣體處理縮短矽膜之成長時間。
另,無論有無利用清洗裝置61之清洗,矽膜之成長時間均縮短,佐證圖3之步驟3所示的“氣體處理”係有效。意即,藉由進行步驟3所示的氣體處理,能夠進一步自晶圓1的被處理面去除包括有機物的雜質,而無論利用清洗裝置61之清洗的有無。藉此,相較於不進行氣體處理的情形而言,能進一步提昇被處理面之潔淨度,有助於矽膜之薄膜化。
又,隨著氣體處理時間變長,以100min的矽成膜時間所成膜的矽膜之膜厚變厚。此係晶圓1之被處理面的潔淨度依存於氣體處理時間,能藉由調節氣體處理時間而控制晶圓1之被處理面的潔淨度。意即,欲更加提昇晶圓1之被處理面的潔淨度,只要加長氣體處理時間即可。
再者,成長時間縮短,表示矽對於晶圓1之被處理面上的核發生密度變高。矽的核發生密度變高時,相較於核為疏鬆地發生之情形而言,會改善所成膜的矽膜之表面粗糙度。 <氣體處理時間與矽膜的表面粗糙度Ra之關係>
圖9係顯示氣體處理時間與矽膜的表面粗糙度Ra之關係。
如圖9所示,已確認藉由進行氣體處理,相較於不進行氣體處理的情形而言,會改善矽膜之表面粗糙度Ra。表面粗糙度Ra亦有氣體處理時間依存性,隨著氣體處理時間加長,表面粗糙度Ra更加良好,亦即接近於晶圓的表面粗糙度Ra(晶圓1之被處理面側的表面粗糙度Ra)。
如此,在第1實施形態之矽膜之成膜方法中,在將矽膜3成膜於晶圓1的被處理面上之前,在同一處理室內,使用O2 氣體與H2 氣體之混合氣體將被處理面加以氣體處理。藉此,能縮短矽膜3的成長時間,能改善所成膜的矽膜3的表面粗糙度Ra,例如接近於矽膜3所成膜的晶圓1原本的表面粗糙度Ra。
所以,依據第1實施形態之矽膜之成膜方法,能提供一種矽膜之成膜方法,即使在將薄的矽膜加以成膜的情形,也可達成高精度的表面粗糙度。
再者,已確認依據第1實施形態之矽膜之成膜方法,亦能改善矽膜的面內均勻性。 <氣體處理時間與矽膜的面內均勻性之關係>
圖10係顯示氣體處理時間與矽膜的面內均勻性之關係。
如圖10所示,藉由進行氣體處理,相較於不進行氣體處理之情形而言,亦改善矽膜的面內均勻性(晶圓1的面內),成為更加均勻的膜厚。矽膜的面內均勻性亦一併提升,係顯示亦能夠跟隨往後應當更加進展的晶圓之大直徑化。
又,矽膜的面內均勻性亦依存於氣體處理時間。因此,欲獲得更加良好的面內均勻性之情形,只要加長氣體處理時間即可。
另,成長時間、矽膜的表面粗糙度Ra、及矽膜的面內均勻性任一者均藉由加長氣體處理時間而往縮短或改善等,對於矽膜之薄膜化而言所希望的方向前進。然而,過度加長氣體處理時間,會導致處理量無謂的惡化。因此,例如,若從實用上的觀點考慮“半導體積體電路裝置之製造”,氣體處理時間應以180min以下為宜。
又,若顧慮到處理量惡化而過度地縮短氣體處理時間,則會削減“提昇被處理面之潔淨度”之效果。為了獲得更有效的效果,氣體處理時間應以30min以上為宜。 (第2實施形態)
第2實施形態係關於可實施上述第1實施形態之矽膜之成膜方法之成膜裝置的一例。
圖11係概略性顯示本發明第2實施形態之成膜裝置的一例之剖視圖。
如圖11所示,成膜裝置100具有底端開口的具備上頂之圓筒體狀的處理室101。處理室101的全體例如由石英所形成。處理室101內的上頂設有石英製的上頂板102。處理室101的底端開口部藉由O形環等密封構件104而連結有例如由不鏽鋼成形為圓筒體狀的歧管103。
歧管103支持處理室101的底端。從歧管103的下方可將石英製的立式晶舟105插入至處理室101內,該立式晶舟105可將多片,例如50~100片半導體晶圓,在本例中係將矽晶圓1多片載置於高度方向作為被處理體。立式晶舟105具有多根支柱106,藉由形成於支柱106的溝槽(未圖示)而將多片晶圓1支持於高度方向。
立式晶舟105隔著石英製的保溫筒107而載置於平臺108上。平臺108在將使歧管103的底端開口部開閉的例如不鏽鋼製之蓋部109加以貫穿的旋轉軸110上受到支持。旋轉軸110的貫穿部設有例如磁性流體密封111,能將旋轉軸110密封成氣密而且支持成可旋轉。蓋部109的周邊部與歧管103的底端部之間插設有例如由O形環所構成的密封構件112。藉此,保持處理室101內的密封性。旋轉軸110安裝於例如受到舟體升降機等昇降機構(未圖示)所支持的手臂113的前端。藉此,晶舟105及蓋部109等一體性地昇降而插入或脫離處理室101內。
成膜裝置100具有:處理氣體供給機構114,將用於處理的氣體供給至處理室101內的;及鈍性氣體供給機構115,將鈍性氣體供給至處理室101內。
處理氣體供給機構114構成為包含:O2 氣體供給源117a;H2 氣體供給源117b;及矽原料氣體供給源117c。
在本例中,O2 氣體供給源117a將O2 氣體,H2 氣體供給源117b將H2 氣體,矽原料氣體供給源117c將Si2 H6 氣體作為矽原料氣體,分別供給至處理室101內。
鈍性氣體供給機構115構成為包含鈍性氣體供給源120。鈍性氣體供給源120將N2 氣體作為鈍性氣體供給至處理室101內。
O2 氣體供給源117a經由流量控制器121a及開閉閥122a而連接至分散噴嘴123a。同樣地,H2 氣體供給源117b經由流量控制器121b及開閉閥122b而連接至未圖示的分散噴嘴123b,同樣地,矽原料氣體供給源117c經由流量控制器121c及開閉閥122c而連接至分散噴嘴123c。
分散噴嘴123a~123c由石英管所構成,往內側貫穿歧管103的側壁並向上方折曲而垂直地延伸。分散噴嘴123a~123c的垂直部分取出既定間隔而形成有多數之氣體噴吐孔124a~124c。O2 氣體、H2 氣體、矽原料氣體分別自氣體噴吐孔124a~124c往水平方向朝向處理室101內大致均勻地噴吐。
鈍性氣體供給源120經由流量控制器121d及開閉閥122d而連接至噴嘴128。噴嘴128貫穿歧管103的側壁,自其前端將鈍性氣體朝向水平方向噴吐至處理室101內。
處理室101內與分散噴嘴123a~123c相反側的部分設有用於將處理室101內加以排氣的排氣口129。排氣口129係將處理室101的側壁往上下方向削除而形成為細長。對應於處理室101之排氣口129的部分藉由焊接而安裝有剖面成形為C字形的排氣口罩蓋構件130,以覆蓋排氣口129。排氣口罩蓋構件130沿著處理室101的側壁往上方延伸,於處理室101的上方劃出氣體出口131。氣體出口131連接有包含真空泵等的排氣機構132。排氣機構132藉由將處理室101內加以排氣而將用於處理的處理氣體加以排氣,及將處理室101內的壓力定為因應於處理的處理壓力。
處理室101的外周設有筒體狀的加熱裝置133。加熱裝置133使供給至處理室101內的處理氣體活性化,並且加熱收容於處理室101內的被處理體,在本例中為晶圓1。
成膜裝置100的各部位之控制,例如藉由微處理器(電腦)所構成的控制器150而進行。控制器150連接有用戶介面151。用戶介面151具備:輸入部,包含操作員為了管理成膜裝置100而用於進行命令之輸入操作等的觸控面板顯示器或鍵盤等;及表示部,包含使成膜裝置100的運作狀況可視化並加以表示的顯示器等。
控制器150連接有記憶部152。記憶部152存放有:控制程式,用於藉由控制器150之控制而實現在成膜裝置100實施的各種處理;及程式亦即配方,用於因應處理條件而於成膜裝置100的各構成部實施處理。配方例如記憶於記憶部152之中的記憶媒體。記憶媒體可係硬碟或半導體記憶體,亦可係CD-ROM、DVD、快閃記憶體等可攜式者。又,亦係可自其他裝置經由例如專用線路而適當傳輸配方。配方係因應於須要而依來自用戶介面151之指示等而自記憶部152讀出,並藉由控制器150實施依據所讀出配方的處理,使成膜裝置100根據控制器150的控制而實施期望的處理。
在本例中,根據控制器150之控制,實施上述第1實施形態之矽膜之成膜方法。上述第1實施形態之矽膜之成膜方法,可藉由如圖11所示的成膜裝置100而加以實施。 (第3實施形態)
第3實施形態係關於實施上述第1實施形態之矽膜之成膜方法之際宜採用的手法之例。另,關於第3實施形態中說明的手法,當然亦可藉由上述第2實施形態中說明的成膜裝置100而加以實施。 <第1例:氣體處理之處理溫度>
氣體處理之處理溫度宜定為矽膜3之成膜溫度以下。在矽膜3之成膜步驟中,矽膜不僅沉積於晶圓1的被處理面上,亦沉積於晶舟,例如立式晶舟105的表面上,或者還更再沉積於處理室101的內壁上。若在將矽膜3加以成膜的處理室101內部沉積有非所欲矽膜的狀態下直接進行氣體處理時,有時,在矽膜3之成膜溫度下未揮發完的揮發成分會進行揮發,而產生氣體。自非所欲矽膜中產生的氣體,例如與氣體處理的氣體環境進行反應而使得處理室101的內部產生微粒。萬一若此種微粒附著於晶圓1的被處理面上,則有可能使得形成於被處理面上的矽膜3之膜質惡化。
圖12係顯示氣體處理溫度與處理室內的微粒數量之關係。
圖12所示,氣體處理的溫度變高時,在處理室101飛散的微粒上昇。在本測量,直到氣體處理的溫度350℃均未觀測到微粒的飛散,但氣體處理之溫度400℃成為以上時觀測到微粒的飛散。而且係超過偵測器之偵測界限的量。在350℃與400℃之間,為矽膜3的成膜溫度380℃。推測成膜溫度380℃係處理室101內產生微粒的門檻。
圖13係顯示氣體處理的溫度與霧靄(Haze)之關係。
圖13所示,氣體處理溫度在成膜溫度380℃以下,例如300℃、350℃,霧靄係約略1.3ppm,在氣體處理溫度超過成膜溫度的500℃下,上昇至約略2.0ppm。此係顯示矽膜3的表面起霧,表面粗糙度惡化。
所以,將氣體處理之處理溫度定為矽膜3之成膜溫度以下,在氣體處理之際,將來自沉積於處理室101內部的非所欲矽膜之氣體產生加以抑制。宜如此對於處理室101內部無謂的微粒之飛散加以抑制,將表面粗糙度之惡化加以抑制。
另,氣體處理之條件係如下: O2 氣體流量:1700sccm H2 氣體流量:1000sccm 處理時間:30min 處理溫度:300℃,350℃,400℃,500℃,600℃ 處理壓力:約47Pa(0.35Torr)。 又,矽成膜之條件顯示於圖12、13中。
另,沉積於立式晶舟105上及處理室101內壁上等的非所欲矽膜,可利用進行清潔而去除。然而,每次將矽膜3加以成膜時進行清潔,會連帶使得處理量降低。因此,有時用戶要求降低清潔的頻率。對於此種要求,將氣體處理之處理溫度定為矽膜3之成膜溫度以下,因為能降低清潔的頻率,所以係有用。
又,在晶舟係立式晶舟105之情形,自使處理室101內的處理環境穩定等觀點而言,有時係將供實際使用的晶圓(以下稱為正品晶圓)載置於立式晶舟105的中段,並將不供實際使用的虛設晶圓載置於立式晶舟105的上段部及下段部。此時,例如於在中段部載置多片正品晶圓,在上段部及下段部各自載置多片虛設晶圓的狀態,進行氣體處理步驟及矽膜3之成膜步驟。
正品晶圓在氣體處理步驟及矽膜3之成膜步驟結束之後更換成新的正品晶圓,但虛設晶圓在未達到設計使用次數之前不更換,到達使用次數之後更換成新的虛設晶圓。
意即,即使在處理室101的內壁或立式晶舟105等的表面無矽膜而係潔淨的狀態下,虛設晶圓的表面有時沉積有矽膜。沉積於虛設晶圓表面的矽膜亦係於氣體處理之際在處理室101的內部使得無謂的微粒產生的一個原因。
自此種例如為了處理室101內處理環境的穩定化而使用的虛設晶圓的觀點而言,將氣體處理之處理溫度定為矽膜3之成膜溫度以下,在微粒之抑制亦係有效。
另,在本例中,係說明分別在立式晶舟105的上段部及下段部各自載置多片虛設晶圓之例,但虛設晶圓對於立式晶舟105之載置方式不限於此,以因應於須要的任意載置模式加以載置即可。
又,氣體處理之溫度在成膜溫度以下之情形,亦有時係氣體處理溫度更靠近成膜溫度為佳。
圖14係顯示氣體處理溫度與矽膜的面內均勻性之關係,圖15係顯示氣體處理溫度與矽膜的表面粗糙度Ra之關係。
如圖14所示,矽膜3的成膜溫度係380℃之情形,氣體處理溫度為350℃者,相較於300℃而言,矽膜的面內均勻性自約略±3.2%改善為約略±2.7%。
又,關於矽膜3的表面粗糙度Ra,如圖15所示,氣體處理溫度為350℃者,相較於300℃而言,自約略0.203nm改善為約略0.199nm。
如此,將氣體處理的溫度定為成膜溫度以下之情形,氣體處理溫度宜更接近於成膜溫度。
另,氣體處理之條件係如下: O2 氣體流量:1700sccm H2 氣體流量:1000sccm 處理時間:30min 處理溫度:300℃或350℃ 處理壓力:約47Pa(0.35Torr)。 又,矽成膜之條件顯示於圖14、15中。 <氣體處理中的被處理體之狀態>
進行氣體處理期間,可使晶圓1靜止,但旋轉會提高包括有機物的雜質之去除效果。因此,氣體處理宜使晶圓1旋轉並且進行。
尤其,如圖11所示的成膜裝置100,沿著晶圓1的被處理面供給O2 氣體及H2 氣體之情形,藉由使晶圓1旋轉,相較於使晶圓1靜止之情形而言,能將O2 氣體及H2 氣體均勻地供給至晶圓1的整個被處理面。因此,沿著晶圓1的被處理面供給O2 氣體及H2 氣體之情形,宜使晶圓旋轉並且進行氣體處理。
又,將晶圓1載置於高度方向的立式晶舟105,係自處理室101的下方往處理室101的內部插入。此時,處理室101附近的氣流紊亂,存在於處理室101內部或者載入區域部內部的微粒或雜質有可能受到揚起。所揚起的微粒或雜質,容易附著於立式晶舟105所載置的晶圓1之邊緣部分。意即,在晶圓1之邊緣部分,微粒或雜質的存在機率比起中央部分更高。大量附著於晶圓1之邊緣的微粒或雜質,在使晶圓1旋轉並進行氣體處理,比起使其靜止並進行而言,更能有效率地加以去除。
以上,已依據第1~第3實施形態而說明本發明,但本發明不限於上述第1~第3實施形態,可在不脫離其主旨精神的範圍進行各種變形。
例如,在上述實施形態中已具體性地舉例顯示處理條件,但處理條件不限於上述具體性的舉例顯示。處理條件可因應於例如收容被處理體的處理室101之容積,或因應於處理壓力之變更等而加以變更。
依據此發明,能提供一種矽膜之成膜方法及可實施該成膜方法之成膜裝置,即使在將薄的矽膜加以成膜的情形,亦可達成高精度的表面粗糙度。
應認為本次揭示的實施形態全部均係舉例顯示,並非限制性之物。實際上,上述實施形態能以多種形態加以具現。又,上述實施形態只要不脫離申請專利範圍及其主旨,亦能以各種形態予以省略、取代、變更。本發明之範圍意指包含申請專利範圍與其均等意義,及範圍內的全部變更。
1‧‧‧晶圓
2‧‧‧矽氧化物膜
51‧‧‧非晶矽膜之表面
61‧‧‧清洗裝置
62‧‧‧成膜裝置
63‧‧‧FOUP
100‧‧‧成膜裝置
101‧‧‧處理室
102‧‧‧頂板
103‧‧‧歧管
104‧‧‧密封構件
105‧‧‧立式晶舟
106‧‧‧支柱
107‧‧‧保溫筒
108‧‧‧平臺
109‧‧‧蓋部
110‧‧‧旋轉軸
111‧‧‧磁性流體密封
112‧‧‧密封構件
113‧‧‧手臂
114‧‧‧處理氣體供給機構
115‧‧‧鈍性氣體供給機構
117a‧‧‧O2氣體供給源
117b‧‧‧H2氣體供給源
117c‧‧‧矽原料氣體供給源
120‧‧‧鈍性氣體供給源
121a、121b、121c、121d‧‧‧流量控制器
122a、122b、122c、122d‧‧‧開閉閥
123a、123b、123c‧‧‧分散噴嘴
124a~124c‧‧‧氣體噴吐孔
128‧‧‧噴嘴
129‧‧‧排氣口
130‧‧‧排氣口罩蓋構件
131‧‧‧氣體出口
132‧‧‧排氣機構
133‧‧‧加熱裝置
150‧‧‧控制器
151‧‧‧用戶介面
152‧‧‧記憶部
Ra‧‧‧表面粗糙度
因為附加的圖式係組合作為本說明書的一部份來顯示本說明書的實施形態,所以與上述的一般性說明及後述的實施形態之細節共同說明本說明書的概念。
圖1係顯示被處理面上的雜質量與經過時間之關係。
圖2係說明測量實驗與實際製程之對應關係。
圖3係顯示本發明第1實施形態之矽膜之成膜方法的一例之流程圖。
圖4A〜圖4E係概略性顯示圖3所示順序中的被處理體之狀態的剖視圖。
圖5係顯示DOP分解物數量的測量結果。
圖6係顯示測量到的DOP及DOP分解物。
圖7係顯示矽氧化物膜之膜厚的測量結果。
圖8係顯示氣體處理時間與矽膜的膜厚之關係。
圖9係顯示氣體處理時間與矽膜的表面粗糙度Ra之關係。
圖10係顯示氣體處理時間與矽膜的面內均勻性之關係。
圖11係概略性顯示本發明第2實施形態之成膜裝置的一例之剖視圖。
圖12係顯示氣體處理溫度與處理室內的微粒數量之關係。
圖13係顯示氣體處理的溫度與霧靄之關係。
圖14係顯示氣體處理溫度與矽膜的面內均勻性之關係。
圖15係顯示氣體處理溫度與矽膜的表面粗糙度Ra之關係。

Claims (19)

  1. 一種矽膜之成膜方法,係將矽膜成膜於被處理體的被處理面上,其包含以下步驟: 步驟(1),將該被處理體的該被處理面,使用氧氣與氫氣加以氣體處理;及 步驟(2),將矽膜成膜於經該氣體處理的該被處理面上; 且該步驟(1)與該步驟(2)係在同一處理室內進行。
  2. 如申請專利範圍第1項之矽膜之成膜方法,其中,該步驟(1)與該步驟(2)係在同一處理室內不暴露於大氣而連續進行。
  3. 如申請專利範圍第1項之矽膜之成膜方法,其中,該步驟(1)中的該氣體處理之處理溫度,係定為該步驟(2)中的該矽膜之成膜溫度以下。
  4. 如申請專利範圍第3項之矽膜之成膜方法,其中,該步驟(2)中的該矽膜之成膜溫度,係定為在可將該矽膜加以成膜的溫度以上並在400℃以下。
  5. 如申請專利範圍第1項之矽膜之成膜方法,其中, 在該步驟(1)中,於將該矽膜成膜於該被處理面上之前,自該被處理面上去除包括有機物的雜質。
  6. 如申請專利範圍第5項之矽膜之成膜方法,其中,該步驟(1)中的該氣體處理之處理溫度,係定為在可自該被處理面上去除有機物的溫度以上並在300℃以下。
  7. 如申請專利範圍第6項之矽膜之成膜方法,其中,該步驟(1)中的該氣體處理之處理溫度,係定為在200℃以上並在300℃以下。
  8. 如申請專利範圍第1項之矽膜之成膜方法,其中,該步驟(1)中的該氣體處理之處理壓力,係定為小於該步驟(2)中的該矽膜之成膜壓力。
  9. 如申請專利範圍第8項之矽膜之成膜方法,其中,該氣體處理之處理壓力係定為小於399.9Pa的有限値。
  10. 如申請專利範圍第1項之矽膜之成膜方法,其中,該被處理體係載置於可載置多片之該被處理體的被處理體舟而搬入至該處理室內, 並在同一處理室內對於該多片之該被處理體進行該步驟(1)與該步驟(2)。
  11. 如申請專利範圍第10項之矽膜之成膜方法,其中,該被處理體舟係可將多片之該被處理體載置於高度方向的立式被處理體舟。
  12. 如申請專利範圍第11項之矽膜之成膜方法,其中,在將供實際使用的正品被處理體與不供實際使用的虛設被處理體載置於該立式被處理體舟的狀態下,在同一處理室內中進行該步驟(1)與該步驟(2)。
  13. 如申請專利範圍第12項之矽膜之成膜方法,其中,該正品被處理體係載置於該立式被處理體舟的中段部, 該虛設被處理體係載置於該立式被處理體舟的上段部及下段部。
  14. 如申請專利範圍第12項之矽膜之成膜方法,其中,該正品被處理體係在該步驟(1)與該步驟(2)結束之後更換成新的正品被處理體, 該虛設被處理體係在該步驟(1)與該步驟(2)結束之後,且達到設計的使用次數之後,更換成新的虛設被處理體。
  15. 如申請專利範圍第1項之矽膜之成膜方法,其中,該步驟(1)係一面使該被處理體旋轉一面進行。
  16. 如申請專利範圍第15項之矽膜之成膜方法,其中,在該步驟(1)中,係沿著該被處理體的被處理面供給該氧氣及該氫氣。
  17. 如申請專利範圍第1項之矽膜之成膜方法,其中,該矽膜之膜厚係定為在2.5nm以下的有限値。
  18. 如申請專利範圍第1項之矽膜之成膜方法,其中, 在進行該步驟(1)之前,更包含以下步驟: 步驟(3),在與該處理室不同之處,對於該被處理體施加清洗處理;及 步驟(4),將施加過該清洗處理的該被處理體,自與該處理室不同之處搬運至該處理室並搬入至該處理室內; 且在施加該清洗處理之後,對於搬運至該處理室的該被處理體,在同一處理室內進行該步驟(1)與該步驟(2)。
  19. 一種成膜裝置,係將矽膜成膜於被處理體的被處理面上,其包含: 處理室,對該被處理體施加處理; 搬入搬出裝置,將該被處理體搬入及搬出該處理室; 氣體供給機構,將氧氣、氫氣、及矽原料氣體至少供給至該處理室內; 加熱裝置,將該處理室內予以加熱; 排氣裝置,將該處理室內加以排氣;及 控制器,控制該搬入搬出裝置、該氣體供給機構、該加熱裝置、與該排氣裝置; 且該控制器控制該氣體供給機構、該加熱裝置及該排氣裝置,以在該處理室內對於該被處理體實施如申請專利範圍第1項之矽膜之成膜方法。
TW104142881A 2014-12-24 2015-12-21 矽膜之成膜方法及成膜裝置 TWI614830B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014260889A JP6322131B2 (ja) 2014-12-24 2014-12-24 シリコン膜の成膜方法および成膜装置
JP2014-260889 2014-12-24

Publications (2)

Publication Number Publication Date
TW201635414A true TW201635414A (zh) 2016-10-01
TWI614830B TWI614830B (zh) 2018-02-11

Family

ID=56165043

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104142881A TWI614830B (zh) 2014-12-24 2015-12-21 矽膜之成膜方法及成膜裝置

Country Status (4)

Country Link
US (1) US9922824B2 (zh)
JP (1) JP6322131B2 (zh)
KR (1) KR101923765B1 (zh)
TW (1) TWI614830B (zh)

Families Citing this family (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) * 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
JP7038564B2 (ja) * 2018-02-22 2022-03-18 東京エレクトロン株式会社 膜形成方法及び基板処理装置
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04290219A (ja) 1991-03-19 1992-10-14 Nec Corp 多結晶シリコン膜の形成方法
US5582880A (en) * 1992-03-27 1996-12-10 Canon Kabushiki Kaisha Method of manufacturing non-single crystal film and non-single crystal semiconductor device
US5648293A (en) * 1993-07-22 1997-07-15 Nec Corporation Method of growing an amorphous silicon film
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
TW371796B (en) * 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
JP4101340B2 (ja) * 1997-12-12 2008-06-18 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW455912B (en) * 1999-01-22 2001-09-21 Sony Corp Method and apparatus for film deposition
JP4607347B2 (ja) * 2001-02-02 2011-01-05 東京エレクトロン株式会社 被処理体の処理方法及び処理装置
WO2003015151A1 (en) * 2001-08-02 2003-02-20 Tokyo Electron Limited Base material treating method and electron device-use material
US7067069B2 (en) * 2001-08-14 2006-06-27 Jsr Corporation Silane composition, silicon film forming method and solar cell production method
JP2003313299A (ja) * 2002-04-22 2003-11-06 Seiko Epson Corp 高次シラン組成物及び該組成物を用いたシリコン膜の形成方法
US6833322B2 (en) * 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
TW200512158A (en) * 2003-06-13 2005-04-01 Jsr Corp Silane polymer and method for forming silicon film
JP2008066429A (ja) * 2006-09-06 2008-03-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US8101788B2 (en) * 2006-09-29 2012-01-24 Air Liquide Electronics U.S. Lp Silicon precursors and method for low temperature CVD of silicon-containing films
KR20100033091A (ko) * 2008-09-19 2010-03-29 한국전자통신연구원 화학기상증착법에 의한 비정질 실리콘 박막의 증착방법
JP5067381B2 (ja) * 2009-02-19 2012-11-07 東京エレクトロン株式会社 熱処理装置の運転方法
DE102009023471B4 (de) * 2009-06-02 2012-08-30 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Beschichtungsanlage und -verfahren
JP2011071412A (ja) * 2009-09-28 2011-04-07 Hitachi Kokusai Electric Inc 基板処理装置
JP5393895B2 (ja) * 2010-09-01 2014-01-22 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5902073B2 (ja) * 2012-09-25 2016-04-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置

Also Published As

Publication number Publication date
KR20160078270A (ko) 2016-07-04
KR101923765B1 (ko) 2019-02-20
JP6322131B2 (ja) 2018-05-09
TWI614830B (zh) 2018-02-11
JP2016122699A (ja) 2016-07-07
US9922824B2 (en) 2018-03-20
US20160189957A1 (en) 2016-06-30

Similar Documents

Publication Publication Date Title
TWI614830B (zh) 矽膜之成膜方法及成膜裝置
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
KR101955829B1 (ko) 부착물의 제거 방법 및 드라이 에칭 방법
CN1792474B (zh) 陶瓷喷涂构件的清洁方法
WO2015194380A1 (ja) 基板処理システム及び基板処理方法
JP4914902B2 (ja) シリサイド形成方法とその装置
KR101656790B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 기록 매체
JP2011187934A (ja) 成膜方法、成膜装置、および成膜装置の使用方法
TW200822188A (en) Film formation apparatus and method for using the same
US10672617B2 (en) Etching method and etching apparatus
JP2015069987A (ja) 基板処理装置、半導体装置の製造方法及び基板処理方法
WO2016151684A1 (ja) 半導体装置の製造方法、記録媒体及び基板処理装置
JP2010206050A (ja) 半導体装置の製造方法及び基板処理装置
JP2010199475A (ja) プラズマ処理装置のクリーニング方法及び記憶媒体
TW201721713A (zh) 被處理體之處理方法
JP2018166223A (ja) 処理方法
TW201447017A (zh) 矽氧化物膜之形成方法、及矽氧化物膜之形成裝置
US11557486B2 (en) Etching method, damage layer removal method, and storage medium
JP2009263764A (ja) 半導体製造装置及び半導体装置の製造方法
JP2010263244A (ja) プラズマ処理方法
JP6211941B2 (ja) 成膜方法および成膜装置
JP6165518B2 (ja) プラズマ処理方法および真空処理装置
JP2001085411A (ja) 真空処理方法
US20220384178A1 (en) Etching method and etching apparatus
JP5885870B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体