TW201537614A - 電漿處理設備用之可動式腔室襯墊電漿侷限隔屏組合 - Google Patents

電漿處理設備用之可動式腔室襯墊電漿侷限隔屏組合 Download PDF

Info

Publication number
TW201537614A
TW201537614A TW104120836A TW104120836A TW201537614A TW 201537614 A TW201537614 A TW 201537614A TW 104120836 A TW104120836 A TW 104120836A TW 104120836 A TW104120836 A TW 104120836A TW 201537614 A TW201537614 A TW 201537614A
Authority
TW
Taiwan
Prior art keywords
chamber liner
heater
manufacturing
movable chamber
plasma
Prior art date
Application number
TW104120836A
Other languages
English (en)
Other versions
TWI588866B (zh
Inventor
Danny Brown
Leonard Sharpless
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201537614A publication Critical patent/TW201537614A/zh
Application granted granted Critical
Publication of TWI588866B publication Critical patent/TWI588866B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K20/00Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating
    • B23K20/12Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating the heat being generated by friction; Friction welding
    • B23K20/122Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating the heat being generated by friction; Friction welding using a non-consumable tool, e.g. friction stir welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49888Subsequently coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種電漿反應室中的可動式對稱腔室襯墊,用以保護電漿反應室、電漿密度與均勻度、並減少處理氣體之消耗,其包含:圓柱壁、具有複數開口的底壁、具有內嵌加熱器、加熱器接觸件與RF接地返回接觸件的舉升內緣。致動器使腔室襯墊在較高位置與較低位置間移動,在較高位置處基板可被傳送進入或離開反應室而在較低位置處可在反應室中處理基板。致動器亦對加熱器與RF接地返回按鈕提供電連接。

Description

電漿處理設備用之可動式腔室襯墊電漿侷限隔屏組合
本發明係關於一種電漿反應室,更具體而言係關於一種處理半導體基用之電漿反應室中的腔室襯墊。
晶圓直徑隨著每個相繼的半導體技術世代而增加但電晶體的尺寸卻隨之縮小,因此在晶圓處理時需要更高的精準度及更高的重覆性。處理半導體基板材料例如矽晶圓的技術包含了使用真空處理室。此些技術包含了非電漿應用例如電子束蒸鍍及電漿應用例如濺鍍沈積、電漿增強型化學氣相沈積(PECVD)、光阻剝除與電漿蝕刻。
目前可利用的電漿處理系統屬於半導體製造設備的一部分,業界持續地要求此些設備有較佳的精準度與重覆性。電漿處理系統的重要成功標準為較高的均勻度,這包含了半導體基板上的處理結果均勻度以及名義上利用相同輸入參數所處理過之一系列晶圓之處理結果的均勻度。一般期望晶圓上的均勻度能夠持續改善。尤其,期望電漿室具有較佳的均勻度、一致性及自我診斷功能。
例如,多晶矽閘極蝕刻持續地朝向能在約300mm直徑的整個基板上得到愈來愈小的關鍵尺寸均勻度(CDU)的能力前進。此類變異可能是因為邊緣附近之基板溫度的徑向變異、電漿的化學性質或密度、懸突的邊緣環、或其他限制。一般認為,對CDU的需求會隨著世代尺寸的持續減少而變得更嚴峻。
本申請案揭露了一種處理半導體基用之電漿反應室中的腔室襯墊。此腔室襯墊具有對稱的形狀、電性接地特性與溫度。致動器使腔室襯墊沿著其軸線移除以輔助基板裝載與卸載。此腔室襯墊包含了在內緣附近的加熱器。加熱器的電力及腔室襯墊的電性接地特性係由腔室襯墊底部上的電插座及致動器內的電線路所提供。
1‧‧‧底壁
2‧‧‧內緣
2a‧‧‧上表面
2b‧‧‧襯墊下表面
3‧‧‧圓柱壁
3a‧‧‧上表面
4‧‧‧加熱器
5‧‧‧半導體基板
6‧‧‧基板支撐件
7‧‧‧致動器
7A‧‧‧致動器臂
9‧‧‧腔室壁
10‧‧‧基板載送接口
11‧‧‧天線
13‧‧‧介電窗
14‧‧‧氣體管線
15‧‧‧氣體源
18‧‧‧卸除式下板
19‧‧‧支撐機構
20‧‧‧槽口型氣體通道
21‧‧‧機械臂
29‧‧‧安裝孔
30‧‧‧電引線
31‧‧‧電絕緣套管
32‧‧‧導體環
40‧‧‧中央纜線
41‧‧‧電絕緣套管
42‧‧‧環形導體
70‧‧‧加熱器接觸件
71‧‧‧RF接地返回鈕
100‧‧‧反應室
200‧‧‧腔室襯墊
300‧‧‧焊接線
400a、400b、400c、400d‧‧‧轂部
圖1為電漿反應室的橫剖面圖,其包含可動式、對稱且可被加熱的腔室襯墊,其中在尋常操作時該腔室襯墊係位於較低的位置。
圖2為圖1中之電漿反應室的橫剖面圖,其中為了裝載與卸載基板,腔室襯墊係位於較高的位置。
圖3為根據一實施例之腔室襯墊的等角俯視圖。
圖4為圖3之腔室襯墊的俯視圖。
圖5為圖3之腔室襯墊的橫剖面圖。
圖6為圖5中細節A的放大橫剖面圖。
圖7為圖3中之腔室襯墊之不同橫剖面的部分放大圖。
圖8A為圖3之腔室襯墊的仰視圖。
圖8B為圖8A中部分A的放大圖。
電漿反應室通常包含腔室襯墊。腔室襯墊具有下列幾個功能。
第一,腔室襯墊可用以侷限電漿。存在於電漿附近的腔室襯墊可以改變電場分佈、將電漿實質上侷限在腔室襯墊內並增加電漿密度。
第二,藉著避免電漿腐蝕電漿反應室的其他部件,腔室襯墊可用以保護電漿反應室,藉此保護電漿反應室不受損。腔室襯墊通常是可被定期清理及/或置換的消耗性部件。
第三,腔室襯墊可增進處理氣體的壓力均勻度。處理氣體的壓力會直接影響到反應率。因此,在半導體基板經歷電漿處理時在基板上方維持均勻的處理氣體分佈有助於維持基板上之裝置晶片中的均勻關鍵尺寸。典型電漿反應室中的壓力係藉著導入處理氣體並同時進行排氣來加以控制。當不對電漿反應室中的處理氣體流進行任何限制時,處理氣體壓力可能會形成自氣體饋送出口附近的相對高壓力至排放接口附近的相對低壓力的梯度。能部分地侷限處理氣體流的腔室襯墊可降低腔室襯墊內的壓力梯度。另一優點是,腔室襯墊可將處理氣體侷限至較小的體積中,藉此降低處理氣體的饋送速率與消耗速率。
此些腔室襯墊的優點係取決於各種特徵。對於具有在基板表面上延伸之外壁的腔室襯墊而言,為了達到處理氣體壓力與電漿密度的高度均勻度,腔室襯墊係較佳地具有對稱性且在外壁中不具有開口。若此類對稱腔室襯墊為固定式的,其會阻礙基板傳送進入與離開處理室,因此為了傳送基板需要打破處理室的真空而導致效率下降。
本文中說明了可動式對稱腔室襯墊。當裝載或卸載基時,本腔室襯墊可被舉升或降下以允許自外側接取基板支持件,藉此結合對稱腔室襯墊與側載電漿反應室兩者的優點。
圖1為電漿的橫剖面圖,其包含可動式、對稱且可被加熱的腔室襯墊200。
電漿反應室100包含與介電窗13(例如均勻厚度的平面介電窗)。設於介電窗13上的是天線11。天線11可以是平面的多圈螺旋線圈、非平面的多圈線圈、或具有其他形狀的天線,天線11係由適當的RF源與適當的RF阻抗匹配電路(未圖示)所驅動,RF阻抗匹配電路將RF電力以感應方式耦合至反應室100中以產生電漿(例如高密度電漿)。連接至氣體源15的氣體管線14將處理氣體供應至反應室100中。
介電窗13正下方的是正在接受處理的半導體基板5。半導體基板5係由包含了下電極之基板支撐件6所支撐,可在下電極上加上RF偏壓。基板支撐件6可包含裝置在其邊緣的一或多個介電環(未圖示),用以電絕緣及/或將RF耦合至半導體基板與電漿。為了力求簡潔,不顯示基板支 撐件6的詳細結構。基板支撐件6與複數致動器7可被圈在支撐機構19及固定至腔室壁9的可卸除式下板18中。可經由支撐機構19上的饋通孔來對基板支撐件6與致動器7提供電連接與氣體饋送。電漿反應室的一實例係載於共讓渡之美國專利6,013,155中,特將其內容包含於此作為參考。
圍繞基板支撐件6的是可動式、對稱且可被加熱的腔室襯墊200。此腔室襯墊具有均勻厚度的底壁1,其較佳地具有複數的氣體通道。均勻厚度的連續外圓柱壁3並無開口且自底壁1的外緣沿著軸向朝上延伸。為了有效地侷限電漿,圓柱壁3的上表面3a係較佳地位於基板5表面上方。厚度比底壁1更厚的內緣2自底壁1的內緣沿著軸向朝上延伸。內緣2容納了內嵌式加熱器4,加熱器4包含一或多個加熱器元件且整體或實質上圍繞內緣2延伸。或者(圖未示),內緣2可具有和底壁1相同的厚度,此時加熱器4係附加至內緣2的下表面上。加熱器4可操作將腔室襯墊200加熱至較高溫度。
當裝載或卸載基板時,致動器7(例如四個致動器)使腔室襯墊200沿著垂直軸移動至較高方處外圓柱壁3不會阻礙基板載送接口10的位置(見圖2)。然而,可使用任何適合的驅動機構來使腔室襯墊200在上、下位置間移動。在一實施例中,致動器7係以氣動方式驅動並被容納於支撐機構19中。經由支撐機構19上的饋通口可提供氣體饋送及/或電連接。每一致動器7中的致動器臂7A係連接至底壁1之下表面上的電插座。藉著自外部氣體源(未圖示)供應或不供應加壓氣體可將致動器臂7A舉升或降低至設置在致動器7中的氣體致動活塞或圓柱處。在裝載或卸載程序期間,舉升致動器臂7A以使腔室襯墊200向上移動直到外圓柱壁3讓基板載送接口10無阻礙。基板載送接口10開啟,然後機械臂21將基板5進入或離開反應室。基板支撐件6較佳地包含用以將基板5舉升至基板支撐件6上表面上方或將基板5下降至基板支撐件6上表面上的內含舉升銷。在基板5被低至上表面上時,降低致動器臂7A以使腔室襯墊200回到其較低的位置。應注意,致動器7可藉由其他適合的裝置加以驅動,例如藉由電動馬達、電纜致動之舉升機構、蘇格蘭軛(Scotch Yoke)機構等。
圖3與4分別顯示了腔室襯墊200之一實施例之透視圖與平面 俯視圖。在此實施例中,底壁具有以放射形式設置的槽口型氣體通道20,其縱軸線實質上垂直於腔室襯墊的內緣與外緣。此些氣體通道具有作為用以排放處理氣體與副產物之排放路線的功能。此外,四個轂部400a、400b、400c與400d自內緣2沿著徑向向外延伸。此些轂部係沿著內緣2以90°分開設置。每一轂部包含:與內緣2之上表面2a共延伸的上表面、圍繞轂部周緣的傾斜側表面、及垂直安裝孔29。此些轂部為低阻抗接地返回路徑提供連接以將射頻(RF)電力饋送至電漿反應室中。此些轂部中的兩者(電力轂部)400a與400c容納了連接至加熱器4的電引線。
可粗糙化、陽極化腔室襯墊200及/或腔室襯墊200至少在底壁1、外圓柱壁3與內緣2的電漿曝露表面上可具有陶瓷塗層(例如電漿-噴塗的氧化釔)。腔室襯墊200的較佳材料是鋁。
圖5顯示圖3與4中之腔室襯墊200的兩電力轂部的橫剖面圖。電力轂部400a與400c是相同的。圖6是圖5中區域A的放大示意圖。沿著周緣延伸的加熱器4係較佳地沿著內緣2的整個長度被容納或鑲嵌。在一實施例中,加熱器4包含兩個半圓形的加熱器元件,每一者沿著內緣2的一半延伸。加熱器4的每一加熱器元件包含徑向延伸通過每一電力轂部400a與400c的終端部且終端部係電連接至電引線30。引線30係連接至襯墊下表面上的電接觸件(加熱器接觸件)70。與加熱器接觸件70同軸的是環形電絕緣套管31,其使加熱器接觸件70與襯墊電絕緣。與環形電絕緣套管31同軸的是與襯墊電連觸的導體環32(例如鋁)。此金屬環32包含下部外緣,此下部外緣的下表面71(RF接地返回鈕)係與襯墊下表面共同延伸。RF接地返回鈕71與加熱器接觸件70係較佳地未經陽極化,故其裸露表面可鍍有適合的抗腐蝕材料例如Ni、Rh或Ir。加熱器接觸件70、絕緣套管31與RF接地返回鈕71形成電力轂部中的電插座。
電力轂部400a與400c下方的致動器臂7A具有同軸電極電極結構,此同軸電裝結構具有:連接至電源60並與加熱器接觸件70作電與機械接觸的中央纜線40、環形電絕緣套管41及連接至RF接地並與RF接地返回鈕71作電與機械接觸的環形導體42。
圖7顯示轂部400b的橫剖面圖。轂部400d係與轂部400b相同。 加熱器4被容納於或鑲嵌於內緣2中。轂部400b並不包含加熱器接觸件或加熱器元件的外殼終端部。轂部400b中的RF接地返回鈕71為導電材料的環形碟,導電材料例如是非-陽極化、鍍有適當抗腐蝕材料如Ni、Rh或Ir的鋁。RF接地返回鈕71可包含與襯墊下表面2b共同延伸的下緣。在轂部400b與400d中,RF返回鈕71獨自形成電插座。
轂部400b與400d下方的致動器臂7A不包含將電力供應至加熱器的纜線但卻包含連接至RF接地並與RF接地返回鈕71作電與機械接觸的導體42。
圖8A為圖3與4之腔室襯墊200的仰視圖。圖8B顯示了電力轂部400a或400c下方之電插座的放大仰視圖。加熱器接觸件70係位於電插座的中央。絕緣體套管31圍繞加熱器接觸件70。RF接地返回鈕71圍繞絕緣體套管31。
應注意可使用任何適合的加熱器配置,其可以各種方式電連接至一或多個加熱器元件。例如,加熱器配置可被裝載於內緣2中或位於內緣2的表面2b上及/或包含單一加熱器元件(例如圓棒、圖案化的膜加熱器等),其中加熱器元件的兩終端係連接至單一轂部中的兩個加熱器接觸件但每隔一個轂部才包含一個RF接地返回鈕。RF接地返回鈕係連佳地沿著腔室襯墊以全對稱方式設置以增加腔室襯墊所侷限之電漿的均勻度。
亦可重新配置加熱器元件的終端部使其在內緣2的正下方垂直延伸,這會消除轂部400a-d、保持環狀對稱內緣並增進腔室襯墊所侷限之電漿的均勻度。
圖3-8中所示之腔室襯墊的實施例可以下列步驟製造之:液壓成型金屬板以形成底壁1與外圓柱壁3;加工一片金屬或鑄造熔融金屬以形成內緣2;將加熱器4附加或鑲嵌至內緣2;沿著焊接線300將底壁1與外圓柱壁3的組件焊接(例如摩擦攪拌焊接)至內緣2;製作底壁1中的槽口20;選擇性地陽極化電漿曝露表面;及選擇性地以熱噴塗釔塗佈電漿曝露表面。
在電漿反應室100中的例示性半導體基板處理方法可包含:(a)將半導體基板5傳送至電漿反應室100中並將半導體基板5降至基板支撐 件6上;(b)藉著降低致動器臂7a而將腔室襯墊200移動至較低的位置;(c)藉著啟動加熱器4而將腔室襯墊200加熱至期望溫度(例如自20至50℃、自50至100℃、或自100至200℃);(d)將處理氣體饋送至反應室100中並利用RF電源產生電漿;(e)以電漿處理(例如電漿蝕刻)半導體基板5;(f)利用致動器7將腔室襯墊200移動到較高的位置;(g)將半導體基板5傳送出電漿反應室100;及重覆對另一片基板進行步驟(a)-(g)。
以上雖已參考特定實施例詳細地說明了本發明,但熟知此項技藝者應瞭解,在不脫離隨附申請專利範圍之範疇的情況下可進行各種改變、修正。例如,可動式襯墊可被內含於電容式耦合電漿室中。
1‧‧‧底壁
2‧‧‧內緣
2a‧‧‧上表面
3‧‧‧圓柱壁
3a‧‧‧上表面
20‧‧‧槽口型氣體通道
29‧‧‧安裝孔
200‧‧‧腔室襯墊
300‧‧‧焊接線
400a、400b、400c、400d‧‧‧轂部

Claims (16)

  1. 一種可動式腔室襯墊的製造方法,包含下列步驟:液壓成型一金屬板以形成由一底壁與一外圓柱壁所構成的一組件;加工一金屬片或鑄造熔融金屬以形成一內緣;支撐與該內緣形成熱接觸的一加熱器;將液壓成型之該底壁與該外圓柱壁的該組件焊接至該內緣;及在該底壁中製作或鑽出開口,以形成一可動式腔室襯墊,該可動式腔室襯墊係設置成在用於處理一半導體基板的一電漿反應室中沿著一基板支撐件的一周緣安裝。
  2. 如申請專利範圍第1項之可動式腔室襯墊的製造方法,其中,該內緣包含自該底壁之一內周緣沿著軸向朝上延伸的一傾斜表面。
  3. 如申請專利範圍第1項之可動式腔室襯墊的製造方法,更包含下列步驟:將該加熱器鑲嵌於該內緣中。
  4. 如申請專利範圍第1項之可動式腔室襯墊的製造方法,其中,該內緣包含四個沿著徑向向外延伸且分隔90°設置的轂部,此些轂部中的至少一者容納連接至該加熱器的電引線。
  5. 如申請專利範圍第1項之可動式腔室襯墊的製造方法,其中,該外圓柱壁與該底壁係由液壓成型的單一鋁金屬板構成。
  6. 如申請專利範圍第1項之可動式腔室襯墊的製造方法,更包含下列步驟:以摩擦攪拌焊接方式將該內緣焊接至該底壁。
  7. 如申請專利範圍第1項之可動式腔室襯墊的製造方法,其中:至少一電插座位在該襯墊之下表面上,該至少一電插座包含電連接至該加熱器且與該襯墊電絕緣的一或多個導電接觸件(加熱器接觸件);至少一電插座位在該襯墊之下表面上,該至少一電插座包含電連接至該 襯墊且與所有該加熱器接觸件電絕緣的導電接觸件(RF接地返回鈕)。
  8. 如申請專利範圍第7項之可動式腔室襯墊的製造方法,其中,該電插座係以方位角對稱方式設置。
  9. 如申請專利範圍第7項之可動式腔室襯墊的製造方法,其中,該加熱器接觸件之曝露表面及RF接地返回鈕之曝露表面的材料為鎳、銠、銥或其合金。
  10. 如申請專利範圍第7項之可動式腔室襯墊的製造方法,其中:該電插座中的兩者包含加熱器接觸件;且該電插座的每一者包含一RF接地返回鈕。
  11. 如申請專利範圍第1項之可動式腔室襯墊的製造方法,其中,該底壁中的該開口為以放射形式配置的槽口,且其縱軸線係實質上垂直於該腔室襯墊的內周緣與外周緣。
  12. 如申請專利範圍第1項之可動式腔室襯墊的製造方法,其中,該底壁、該外圓柱壁與該內緣為陽極化的鋁、或粗糙化且陽極化的鋁。
  13. 如申請專利範圍第1項之可動式腔室襯墊的製造方法,其中,該加熱器包含兩個半圓形的加熱器元件。
  14. 如申請專利範圍第1項之可動式腔室襯墊的製造方法,更包含下列步驟:以陶瓷塗層來塗佈該底壁、該外圓柱壁與該內緣的電漿曝露表面。
  15. 如申請專利範圍第13項之可動式腔室襯墊的製造方法,其中,該半圓形加熱器元件之每一者皆包含一對在其兩端處沿徑向朝外延伸的向外延伸部。
  16. 一種在電漿反應室中處理半導體基板的方法,該電漿反應室包含藉由申請專利範圍第1項之方法所製造的一可動式腔室襯墊,該方法包含下列步驟:(a)將半導體基板裝載至該電漿反應室中,並將該半導體基板置於一基板支撐件上;(b)移動致動器而將該腔室襯墊降低至一較低的位置;(c)利用該加熱器調整該腔室襯墊的溫度;(d)將處理氣體饋送至該反應室中並利用一RF電源將該處理氣體通電成電漿;(e)以該電漿施行該半導體基板之電漿蝕刻;(f)移動該致動器以將該腔室襯墊舉升至一較高的位置;及(g)將該半導體基板傳送出該電漿反應室。
TW104120836A 2010-05-21 2011-04-26 電漿處理設備用之可動式腔室襯墊電漿侷限隔屏組合 TWI588866B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/785,232 US8597462B2 (en) 2010-05-21 2010-05-21 Movable chamber liner plasma confinement screen combination for plasma processing apparatuses

Publications (2)

Publication Number Publication Date
TW201537614A true TW201537614A (zh) 2015-10-01
TWI588866B TWI588866B (zh) 2017-06-21

Family

ID=44972834

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104120836A TWI588866B (zh) 2010-05-21 2011-04-26 電漿處理設備用之可動式腔室襯墊電漿侷限隔屏組合
TW100114484A TWI501288B (zh) 2010-05-21 2011-04-26 電漿處理設備用之可動式腔室襯墊電漿侷限隔屏組合

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW100114484A TWI501288B (zh) 2010-05-21 2011-04-26 電漿處理設備用之可動式腔室襯墊電漿侷限隔屏組合

Country Status (7)

Country Link
US (2) US8597462B2 (zh)
JP (2) JP5902153B2 (zh)
KR (1) KR101976852B1 (zh)
CN (1) CN102947920B (zh)
SG (1) SG185669A1 (zh)
TW (2) TWI588866B (zh)
WO (1) WO2011146108A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI761337B (zh) * 2016-03-24 2022-04-21 美商蘭姆研究公司 基板處理系統

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2151855B1 (en) * 2007-05-18 2014-03-12 Ulvac, Inc. Plasma-processing device and method of manufacturing adhesion-preventing member
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012118897A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
KR101904146B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 기판 이송 및 라디칼 구속을 위한 방법 및 장치
TWI511223B (zh) * 2011-06-03 2015-12-01 Hermes Epitek Corp 半導體設備
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
WO2013078420A2 (en) 2011-11-24 2013-05-30 Lam Research Corporation Symmetric rf return path liner
CN106847737B (zh) 2012-02-29 2020-11-13 应用材料公司 配置中的除污及剥除处理腔室
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
US8895452B2 (en) * 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
CN107833848B (zh) * 2013-04-30 2021-12-07 应用材料公司 具有空间分布的气体通道的气流控制衬垫
CN104233191A (zh) * 2013-06-08 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 加热腔室及等离子体加工设备
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
CN103887138B (zh) * 2014-03-31 2017-01-18 上海华力微电子有限公司 一种刻蚀设备的边缘环
CN112366128B (zh) * 2014-04-09 2024-03-08 应用材料公司 用于在处理腔室中提供对称的流动路径的流动模块
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
CN105789008B (zh) * 2014-12-22 2017-12-19 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体刻蚀方法
CN105789010B (zh) * 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
CN106783490B (zh) * 2015-11-23 2018-09-18 北京北方华创微电子装备有限公司 内衬接地组件、反应腔室及半导体加工设备
WO2017165016A1 (en) 2016-03-25 2017-09-28 Applied Materials, Inc. Chamber liner for high temperature processing
JP6991164B2 (ja) * 2016-06-15 2022-01-12 エヴァテック・アーゲー 真空処理チャンバ及び真空処理された板状基板の製造方法
US10266947B2 (en) 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
JP6683575B2 (ja) * 2016-09-01 2020-04-22 東京エレクトロン株式会社 プラズマ処理装置
KR101961326B1 (ko) 2016-10-19 2019-07-18 세메스 주식회사 기판을 처리하는 장치의 부품 세정 방법 및 장치
KR102587615B1 (ko) 2016-12-21 2023-10-11 삼성전자주식회사 플라즈마 처리 장치의 온도 조절기 및 이를 포함하는 플라즈마 처리 장치
WO2018121898A1 (en) 2016-12-27 2018-07-05 Evatec Ag Rf capacitive coupled etch reactor
JP2019009185A (ja) * 2017-06-21 2019-01-17 東京エレクトロン株式会社 プラズマ処理装置
US20190006154A1 (en) * 2017-06-28 2019-01-03 Chaolin Hu Toroidal Plasma Chamber
SG11202004504VA (en) * 2017-12-15 2020-07-29 Lam Res Corp Ring structures and systems for use in a plasma chamber
US11124659B2 (en) 2018-01-30 2021-09-21 Lam Research Corporation Method to selectively pattern a surface for plasma resistant coat applications
CN110534391B (zh) * 2018-05-23 2022-04-22 北京北方华创微电子装备有限公司 腔室内衬、反应腔室及半导体加工设备
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
CN109175666B (zh) * 2018-07-20 2020-08-25 靖江先锋半导体科技有限公司 一种带磁铁环的直冷阴极衬套的焊接工艺
JP7451490B2 (ja) * 2018-07-30 2024-03-18 ノードソン コーポレーション プラズマを用いたワーク処理用のシステム
US10923327B2 (en) * 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
KR102469302B1 (ko) * 2018-08-01 2022-11-22 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 반응 챔버 및 플라즈마 디바이스
KR102642790B1 (ko) * 2018-08-06 2024-03-05 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버를 위한 라이너
CN111326386B (zh) * 2018-12-14 2023-04-14 北京北方华创微电子装备有限公司 聚焦环和预清洗腔室
US11415147B2 (en) * 2019-05-28 2022-08-16 Applied Materials, Inc. Pumping liner for improved flow uniformity
CN112071733B (zh) * 2019-06-10 2024-03-12 中微半导体设备(上海)股份有限公司 用于真空处理设备的内衬装置和真空处理设备
KR102152905B1 (ko) 2019-06-24 2020-09-08 세메스 주식회사 부품 세정 방법 및 장치
CN112447474B (zh) * 2019-09-04 2022-11-04 中微半导体设备(上海)股份有限公司 一种具有可移动环的等离子体处理器
KR102114891B1 (ko) * 2019-11-18 2020-05-26 주식회사 기가레인 플라즈마 처리 장치
CN110919216A (zh) * 2019-11-26 2020-03-27 中国电建集团山东电力建设第一工程有限公司 一种盐碱地区火力发电厂接地线焊接的防腐方法
CN112928007B (zh) * 2019-12-06 2023-09-12 中微半导体设备(上海)股份有限公司 等离子体处理设备及用于等离子体处理设备的下电极组件
JP7422531B2 (ja) * 2019-12-17 2024-01-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20210285101A1 (en) * 2020-03-12 2021-09-16 Applied Materials, Inc. Methods and apparatus for conductance liners in semiconductor process chambers
CN111725106B (zh) * 2020-06-22 2023-09-08 北京北方华创微电子装备有限公司 半导体工艺设备及其工艺腔室
JP7446177B2 (ja) 2020-08-03 2024-03-08 東京エレクトロン株式会社 基板処理装置および中継部材の駆動方法
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
CN114664622A (zh) * 2020-12-23 2022-06-24 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及调节方法
CN113337810B (zh) * 2021-05-26 2022-04-22 北京北方华创微电子装备有限公司 内衬装置及半导体加工设备
CN114284128B (zh) * 2021-12-27 2024-01-26 合肥综合性国家科学中心能源研究院(安徽省能源实验室) 一种控制离子源引出粒子密度的调节装置及其控制方法

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4013415A (en) * 1974-06-07 1977-03-22 Igor Sergeevich Burov Plasma-chemical reactor for treatment of disperse materials
US4289598A (en) * 1980-05-03 1981-09-15 Technics, Inc. Plasma reactor and method therefor
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5306895A (en) * 1991-03-26 1994-04-26 Ngk Insulators, Ltd. Corrosion-resistant member for chemical apparatus using halogen series corrosive gas
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5822171A (en) * 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
US5685942A (en) 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
ES2206607T3 (es) 1995-11-17 2004-05-16 Gesellschaft Fur Biotechnologische Forschung Mbh (Gbf) Derivados de epotilones, preparacion y utilizacion.
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6001426A (en) * 1996-07-25 1999-12-14 Utron Inc. High velocity pulsed wire-arc spray
US6189482B1 (en) * 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3598717B2 (ja) 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
US5944942A (en) 1998-03-04 1999-08-31 Ogle; John Seldon Varying multipole plasma source
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6204607B1 (en) 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core
US6019060A (en) 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6853141B2 (en) 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US6617538B1 (en) * 2000-03-31 2003-09-09 Imad Mahawili Rotating arc plasma jet and method of use for chemical synthesis and chemical by-products abatements
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
EP1334514A2 (en) * 2000-11-01 2003-08-13 Applied Materials, Inc. Dielectric etch chamber with expanded process window
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
JP2002270484A (ja) 2001-03-07 2002-09-20 Tokyo Electron Ltd 冷却処理装置及び冷却処理方法
JP4402860B2 (ja) * 2001-03-28 2010-01-20 忠弘 大見 プラズマ処理装置
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6814813B2 (en) * 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US20050121143A1 (en) * 2002-05-23 2005-06-09 Lam Research Corporation Pump baffle and screen to improve etch uniformity
KR100426816B1 (ko) 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
EP2495350B1 (en) * 2003-03-12 2014-06-18 Toyo Seikan Group Holdings, Ltd. Microwave plasma processing device with a plasma processing gas supply member
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
KR101141488B1 (ko) 2003-03-21 2012-05-03 도쿄엘렉트론가부시키가이샤 처리중의 기판이면(裏面) 증착 감소방법 및 장치
JP2004356311A (ja) * 2003-05-28 2004-12-16 Sony Corp プラズマ処理装置
KR100826432B1 (ko) * 2003-10-31 2008-04-29 엘지디스플레이 주식회사 반도체 공정 장비용 서셉터 및 이를 구비한 반도체 공정 장비
US7294224B2 (en) * 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
US20060289397A1 (en) * 2005-05-16 2006-12-28 Imad Mahawili Arc plasma jet and method of use for chemical scrubbing system
JP4825459B2 (ja) * 2005-06-28 2011-11-30 株式会社東芝 熱処理装置、熱処理方法及び半導体装置の製造方法
JP2007012724A (ja) * 2005-06-29 2007-01-18 Matsushita Electric Ind Co Ltd プラズマ処理装置および処理方法
US7416677B2 (en) 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US8043430B2 (en) 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US7699634B2 (en) 2007-03-16 2010-04-20 Lam Research Corporation High power electrical connector for a laminated heater
EP2151855B1 (en) * 2007-05-18 2014-03-12 Ulvac, Inc. Plasma-processing device and method of manufacturing adhesion-preventing member
US8287650B2 (en) * 2008-09-10 2012-10-16 Applied Materials, Inc. Low sloped edge ring for plasma processing chamber
US20100081284A1 (en) * 2008-09-29 2010-04-01 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
JP2010084169A (ja) * 2008-09-30 2010-04-15 Canon Anelva Corp 真空排気方法、真空排気プログラム、および真空処理装置
US20100116823A1 (en) * 2008-11-07 2010-05-13 Applied Materials, Inc. Hydroformed fluid channels
US8877001B2 (en) * 2009-05-07 2014-11-04 Applied Materials, Inc. Shuttered gate valve
US20110097901A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US8826855B2 (en) * 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI761337B (zh) * 2016-03-24 2022-04-21 美商蘭姆研究公司 基板處理系統

Also Published As

Publication number Publication date
JP2016136636A (ja) 2016-07-28
CN102947920B (zh) 2015-06-03
CN102947920A (zh) 2013-02-27
TWI501288B (zh) 2015-09-21
TWI588866B (zh) 2017-06-21
SG185669A1 (en) 2012-12-28
US20110287632A1 (en) 2011-11-24
US9490135B2 (en) 2016-11-08
TW201201245A (en) 2012-01-01
WO2011146108A3 (en) 2012-04-05
JP6196697B2 (ja) 2017-09-13
WO2011146108A2 (en) 2011-11-24
KR101976852B1 (ko) 2019-05-10
US8597462B2 (en) 2013-12-03
KR20130115099A (ko) 2013-10-21
JP5902153B2 (ja) 2016-04-13
JP2013531368A (ja) 2013-08-01
US20140051254A1 (en) 2014-02-20

Similar Documents

Publication Publication Date Title
TWI588866B (zh) 電漿處理設備用之可動式腔室襯墊電漿侷限隔屏組合
CN100423196C (zh) 螺旋谐振器型等离子体处理设备
US7837828B2 (en) Substrate supporting structure for semiconductor processing, and plasma processing device
US20190051496A1 (en) Distributed electrode array for plasma processing
US8191505B2 (en) Process gas introducing mechanism and plasma processing device
CN112992639A (zh) 具有静电卡盘的基板处理装置及基板处理方法
US10741368B2 (en) Plasma processing apparatus
US20180358211A1 (en) Substrate treating apparatus
KR20180080996A (ko) 플라즈마 처리 장치
JP6623511B2 (ja) プラズマ処理装置
JP2010157559A (ja) プラズマ処置装置
US11380526B2 (en) Stage and plasma processing apparatus
JP4540797B2 (ja) 基板処理チャンバ及び基板処理チャンバ用のアンテナコイルアセンブリ
JP2007311613A (ja) 試料台及びそれを備えたプラズマ処理装置
JP2019140155A (ja) プラズマ処理装置
US10510511B2 (en) Apparatus for treating substrate
WO2007094416A1 (ja) プラズマ処理装置及びプラズマ処理方法
JP3050732B2 (ja) プラズマ処理装置
JP2010267708A (ja) 真空処理装置および真空処理方法
TWI810697B (zh) 基板處理設備
KR102275077B1 (ko) 기판 처리 장치 및 방법
US20230064141A1 (en) Substrate processing apparatus including electrostatic chuck, substrate processing method, and method of manufacturing electrostatic chuck
TWI837214B (zh) 形成在用於電漿處理腔室的基板支撐組件的靜電吸盤中的接地電極
TW202336810A (zh) 電漿處理裝置