CN102947920A - 等离子体处理装置的可移动室衬等离子体约束屏蔽组合 - Google Patents

等离子体处理装置的可移动室衬等离子体约束屏蔽组合 Download PDF

Info

Publication number
CN102947920A
CN102947920A CN2011800311518A CN201180031151A CN102947920A CN 102947920 A CN102947920 A CN 102947920A CN 2011800311518 A CN2011800311518 A CN 2011800311518A CN 201180031151 A CN201180031151 A CN 201180031151A CN 102947920 A CN102947920 A CN 102947920A
Authority
CN
China
Prior art keywords
chamber
lining
plasma
heater
diapire
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800311518A
Other languages
English (en)
Other versions
CN102947920B (zh
Inventor
丹尼·布朗
伦纳德·沙普利斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102947920A publication Critical patent/CN102947920A/zh
Application granted granted Critical
Publication of CN102947920B publication Critical patent/CN102947920B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K20/00Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating
    • B23K20/12Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating the heat being generated by friction; Friction welding
    • B23K20/122Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating the heat being generated by friction; Friction welding using a non-consumable tool, e.g. friction stir welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49888Subsequently coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种等离子体反应室中的可移动对称室衬,用于保护等离子体反应室、提高等离子体密度和均匀性、以及降低工艺气体消耗,包括圆柱形壁、具有多个开口的底壁、具有嵌入式加热器的凸起的内边沿、加热器触点、以及RF接地返回触点。室衬在上部位置和下部位置之间被致动器移动,在上部位置,衬底可被传递出入该室,在下部位置,衬底在该室中进行处理。致动器还提供到加热器和RF接地返回触点的电气连接。

Description

等离子体处理装置的可移动室衬等离子体约束屏蔽组合
背景技术
伴随每一代相继的半导体技术,晶片半径趋于增大而晶体管尺寸趋于减小,导致对晶片处理的精度和可重复性的更高需求。诸如硅晶片等半导体衬底材料通过包括真空室的使用的技术进行处理。这些技术包括诸如电子束蒸发之类的非等离子体应用,以及诸如溅射沉积、等离子体增强化学气相沉积(PECVD)、抗蚀剂剥离和等离子体蚀刻之类的等离子体应用。
现今可用的等离子体处理系统是对提高精度和可重复性有不断增长的需求的那些半导体制造工具。对于等离子体处理系统来说,一个重要的成功标准是提升的均匀性,包括半导体衬底表面上处理结果的均匀性以及用标称相同的输入参数处理的一连串晶片的处理结果的均匀性。片上(on-wafer)均匀性的持续改进是所期望的。此外,需要等离子室提升均匀性、一致性和自诊能力。
举例来说,多晶硅栅极蚀刻趋向于贯穿直径大约300mm的衬底实现越来越小的临界尺寸均匀性(CDU)。这样的变化可能是由于衬底边缘附近温度、等离子体化学品或密度、延伸的边缘环、或者其他约束的径向变化。CDU要求有望随着节点尺寸的持续减小变得更加严格。
发明内容
本文描述了等离子体反应室中用于处理半导体衬底的室衬(chamber liner)。该室衬在形状、电气接地以及温度上是对称的。为了便于衬底加载和卸载,致动器可使室衬沿其轴移动。该室衬包括内周长附近的加热器。加热器的电源和室衬的电气接地通过位于室衬底部上的电插座(electrical receptacle)和致动器内部的电线提供。
附图说明
图1是包括可移动的、对称的并且被加热的室衬的等离子体反应室的横断面示意图,其中该室衬位于针对正常工作的较低位置。
图2是图1中的等离子体反应室的横断面示意图,其中该室衬位于针对衬底加载和卸载的较高位置。
图3是根据一实施方式的室衬的等距(isometric)顶视图。
图4是图3中的室衬的顶视图。
图5是图3中的室衬的横断面示意图。
图6是图5中的细节A的放大的横断面示意图。
图7是图3中的室衬的不同横断面的一部分的放大示意图。
图8A是图3中的室衬的底视图。
图8B是图8A中的部分A的放大视图。
具体实施方式
等离子体反应室通常包括室衬。该室衬提供若干功能。
第一,室衬可被用于约束等离子体。室衬在等离子体附近的存在可改变电场的分布,将等离子体基本上约束在室衬内并增加等离子体密度。
第二,室衬可被用于通过防止等离子体侵蚀等离子体反应室的其他部件来保护等离子体反应室,从而保护等离子体反应室免受损害。室衬通常是易耗部件,可被定期清洁和/或替换。
第三,室衬可提高工艺气体压强均匀性。工艺气体压强直接影响反应速率。因此,在正在进行等离子体处理的半导体衬底上维持均匀的工艺气体压强分布有助于维持衬底上的器件裸片的均匀的临界尺寸。典型等离子体反应室中的压强通过同时引入工艺气体和排空该室进行控制。在对等离子体反应室中的工艺气体流没有任何限制的情况下,工艺气体压强可形成从进气口附近相对较高的压强到排气口附近相对较低的压强的梯度。部分地限制工艺气体流的室衬可减少室衬内的压强梯度。另一优点是室衬可将工艺气体约束于更小的容积从而降低工艺气体的进给速度和消耗速度。
室衬的这些优点依赖于各种特征。对于具有延伸在衬底表面上方的外壁的室衬来说,为了达到工艺气体压强和等离子体密度的高度均匀性,室衬优选地是对称的并且在外壁中没有开口。这样的对称室衬,如果位置固定,会阻塞衬底出入该室的传递,因此需要为衬底传递打破该室中的真空,并导致效率降低。
本文描述了可移动对称室衬。该室衬可被升高或降低以使在加载或卸载衬底时能够从侧面接触衬底支撑件,从而组合对称室衬和侧面加载等离子体反应室的优点。
图1是包括可移动的、对称的并且被加热的室衬200的等离子体反应室100的横断面示意图。
等离子体反应室100包括室壁9和介电窗13(例如,均匀厚度的平面介电窗)。安装在介电窗13上的是天线11。天线11可以是平面多匝螺旋线圈、非平面多匝线圈、或者具有其它形状的天线,由将RF能量电感耦合到室100中以产生等离子体(例如,高密度等离子体)的合适的RF源和合适的RF阻抗匹配电路(未图示)供电。连接到气体源15的气体管道14将工艺气体供应到室100中。
在介电窗13正下方的是处理中的半导体衬底5。半导体衬底5被支撑于结合可被RF偏置的下电极的衬底支撑件6上。衬底支撑件6可包括围绕其周界安装的一或多个介电环(未图示)用于电气绝缘和/或将RF耦合至半导体衬底和等离子体中。为了简洁,衬底支撑件6的细部结构未示出。衬底支撑件6和多个致动器7可被包围在支撑构件19和安装于室壁9的可移动底板18中。至衬底支撑件6和致动器7的电气连接和气体进给可通过支撑构件19上的贯穿件(feedthrough)来提供。示例性的等离子体反应室在共同受让的美国专利第6,013,155号中进行了描述,在此通过参考引入。
可移动、对称、并被加热的室衬200围绕衬底支撑件6。该室衬具有均匀厚度的底壁1,优选地具有多个气体通道。均匀厚度的连续圆柱形外壁3没有开口并且从底壁1的外部周界轴向地向上延伸。为了有效地约束等离子体,圆柱形壁3的上表面3a优选地位于衬底5的表面上方。厚度大于底壁1的内边沿(rim)2从底壁1的内部周界轴向地向上延伸。内边沿2容纳包括一或多个加热元件并全然地或大体上围绕内边沿2延伸的嵌入式加热器4。替代地(未图示),内边沿2可具有与底壁1相同的厚度,加热器4附着在内边沿2的下表面上。加热器4可操作来将室衬200加热至升高的温度。
当加载和卸载衬底时,致动器7(例如,四个致动器)沿着竖直轴将室衬200移动到上部位置,在该位置,圆柱形外壁3不会阻塞衬底加载端口10(参见图2)。但是,任何合适的驱动装置可被用来在上部位置和下部位置之间移动室衬200。在一实施方式中,致动器7是气动的并被包围在支撑构件19中。气体进给和/或电气连接通过支撑构件19上的贯穿件提供。各个致动器7中的致动器臂7A被附着到底壁1的下表面上的电插座。通过从外部气体源(未图示)供应或不供应压缩气体到安装在致动器7中的气动活塞或气缸(未图示),致动器臂7A可被升高或降低。在加载或卸载过程中,致动器臂7A被升高以向上移动室衬200直至圆柱形外壁3从衬底加载端口10完全移走(clear)。衬底加载端口10打开,机械臂21将衬底5转递进出该室。衬底支撑件6优选地包括结合在其中的起模顶杆(lift pin),用于将衬底5升高和降低到衬底支撑件6的上方和上表面上。在衬底5被降低到该上表面上后,致动器臂7A被降低以使室衬200返回其下部位置。应当理解的是,致动器7可由其它合适的装置驱动,比如电动马达、电缆驱动升降机、止转棒轭(Scotch Yoke)装置,等等。
图3和4分别示出了室衬200的实施方式的立体图和平面顶视图。在该实施方式中,底壁具有排布为放射形状的槽形气体通道20,它们的纵轴大体上垂直于室衬的内外周。这些气体通道作为工艺气体及副产品的排放的排放路线。此外,四个凸台(boss)400a、400b、400c、400d从内边沿2放射状向外延伸。这些凸台沿着内边沿2相隔90°排布。每一个凸台包括与内边沿2的上表面2a同延(coextensive)的上表面、围绕凸台周界的倾斜的侧表面和竖直安装孔29。这些凸台为用于进入该等离子体反应室中的射频(RF)功率的低阻抗接地回路提供连接。这些凸台中的两个(电力凸台),400a和400c,容纳连接到加热器4的电引线。
室衬200可被粗糙化、阳极化和/或在底壁1、圆柱形外壁3和内边沿2的至少等离子体暴露表面上具有陶瓷涂层(例如,等离子喷涂氧化钇)。室衬200的优选材料是铝。
图5是穿过图3和4中的室衬200的两个电力凸台400a和400c的横断面。该两个电力凸台400a和400c是同样的。图6是图5中的区域A的放大示意图。沿圆周延伸的加热器4优选地沿着内边沿2的大体上整个长度被容纳或嵌入。在一实施方式中,加热器4包括两个半周加热元件,每一个都沿着内边沿2的一半延伸。加热器4的每一个加热元件包括穿过各个电力凸台400a和400c的放射状延伸的端部,且所述端部被电气连接到电引线30。引线30被连接到室衬的下表面上的电触点(加热器触点)70。与加热器触点70同中心的是环状电绝缘套管31,其电气隔离加热器触点70和该室衬。与环状电绝缘套管31同中心的是与该室衬电接触的导体环32(例如,铝)。该金属环32包括下部外凸缘(flange),其下表面71(RF接地返回钮)与室衬的下表面同延(coextensive)。RF接地返回钮71和加热器触点70优选地不阳极化使得它们的暴露表面可用合适的抗腐蚀材料比如Ni、Rh或者Ir镀层。加热器触点70、绝缘套筒31和RF接地返回钮71在电力凸台中形成电插座。
电力凸台400a和400c下面的致动器臂7A具有同中心电极结构,该同中心电极结构具有连接到电源60并与加热器触点70电气和机械接触的中心导线40、环状电绝缘套管41和连接到RF接地并与RF接地返回钮71电气和机械接触的环状导体42。
图7示出了穿过凸台400b的横断面。凸台400d与凸台400b相同。加热器4被容纳于或嵌入内边沿2中。凸台400b不包括加热器触点,不容纳加热元件的端部。凸台400b中的RF接地返回钮71是诸如非阳极化铝之类的导电材料的圆盘,用合适的抗腐蚀材料比如Ni、Rh或Ir镀层。RF接地返回钮71可包括与室衬的下表面2b同延的下部凸缘。在凸台400b和400d中,RF返回钮71独自形成电插座。
凸台400b和400d下面的致动器臂7A不包括用于给加热器供电的电线,但包括连接到RF接地并与RF接地返回钮71电气和机械接触的导体42。
图8A是图3和4中的室衬200的底视图。图8B示出了电力凸台400a或400c下面的电插座的放大底视图。加热器触点70位于该电插座的中心。绝缘体套管31围绕加热器触点70。RF接地返回钮71围绕绝缘体套管31。
应当理解的是,可以使用任何合适的加热器装置,结合到一或多个加热元件的各种电气连接件。例如,加热器装置可被装入边沿2中或被设置在边沿2的表面2b上和/或包括单个加热元件(例如,圆杆、图案化膜加热器,等等),具有连接到一个凸台中的两个加热器触点的两个接线端,同时,其他凸台中的每一个只包括RF接地返回钮。RF接地返回钮优选地围绕室衬对称排布以提高由该室衬约束的等离子体的均匀性。
也可以重新排布加热元件的端部使得它们在内边沿2的正下方竖直延伸,这消除了凸台400a-d,维持了圆形对称的内边沿并提高了由室衬约束的等离子体的均匀性。
图3-8中所描绘的室衬的实施方式可通过下面的步骤来制造:将金属板液压成形(hydroform)以形成底壁1和圆柱形外壁3;将金属片加工成内边沿2或者将熔融金属铸成内边沿2;将加热器4嵌入或附着于内边沿2;沿着焊缝300将底壁1和圆柱形外壁3的组件焊接到内边沿2;加工底壁1中的槽20;可选地阳极化等离子体暴露表面;以及可选地用热喷涂氧化钇涂布等离子体暴露表面。
在等离子体反应室100中处理半导体衬底的示例性方法可包括:(a)将半导体衬底5传递到等离子体反应室100中并将半导体衬底5降低到衬底支撑件6上;(b)通过降低致动器臂7A将室衬200移动到下部位置;(c)通过启动加热器4将室衬200加热到期望的温度(例如,从20到50℃、从50到100℃、或者从100到200℃);(d)将工艺气体进给到室100中并利用RF电源产生等离子体;(e)用该等离子体处理(例如,等离子体蚀刻)半导体衬底5;(f)通过致动器7将室衬200移动到上部位置;(g)将半导体衬底5传递到等离子体反应室100外面;以及对另一衬底重复(a)-(g)。
虽然参考这里的具体实施方式对本发明进行了详细描述,但是对于本领域技术人员来说,显然可以进行各种改变和修改,以及采用等同方式,而不背离所附权利要求书的保护范围。举例来说,可移动室衬可装在电容耦合等离子体室中。

Claims (20)

1.一种可移动室衬,其被构造为在具有处理半导体衬底用途的等离子体反应室中,围绕衬底支撑件的周界安装,所述室衬包括:
环状底壁,其具有多个气体通道,所述环状底壁被构造为当所述可移动室衬位于所述等离子体反应室中的下部位置时处于所述衬底支撑件的衬底支撑表面的下方;
连续圆柱形外壁,其没有从中穿过的开口,从所述底壁的外部周界轴向地向上延伸,当所述可移动室衬位于所述下部位置时,所述圆柱形外壁的上表面在所述衬底支撑件的所述衬底支撑表面上方延伸;
内边沿,其从所述底壁的内部周界轴向地向上延伸,当所述可移动室衬位于所述下部位置时,所述内边沿的最上面的表面不在所述衬底支撑件的所述衬底支撑表面上方延伸;
加热器,其由所述内边沿支撑,且能操作来将所述可移动室衬加热至升高的温度。
2.如权利要求1所述的可移动室衬,其中所述内边沿包括从所述底壁的所述内部周界轴向地向上延伸的倾斜表面。
3.如权利要求1所述的可移动室衬,其中所述加热器被嵌入所述内边沿中。
4.如权利要求1所述的可移动室衬,其中所述内边沿包括相隔90°的四个放射状向外延伸的凸台,所述凸台中的至少一个容纳到所述加热器的电气连接件。
5.如权利要求1所述的可移动室衬,其中所述圆柱形外壁和所述底壁由单块的液压成形铝板组成。
6.如权利要求1所述的可移动室衬,其中所述内边沿被搅拌摩擦焊接到所述底壁。
7.如权利要求1所述的可移动室衬,进一步包括:
在所述室衬的下表面上的至少一个电插座,其包括电气连接到所述加热器并与所述室衬电气绝缘的一或多个导电触点(加热器触点);
在所述室衬的所述下表面上的至少一个电插座,其包括电气连接到所述室衬并与任何加热器触点电气绝缘的导电触点(RF接地返回钮)。
8.如权利要求7所述的可移动室衬,其中所述电插座按方位角对称设置。
9.如权利要求7所述的可移动室衬,其中所述加热器触点的暴露表面和所述RF接地返回钮的暴露表面的材料是镍、铑、铱或其合金。
10.如权利要求7所述的可移动室衬,其中:
所述电插座中的两个包括加热器触点以及;
所述电插座中的每一个包括RF接地返回钮。
11.如权利要求1所述的可移动室衬,其中所述底壁中的所述开口是以放射形状排布的槽,且它们的纵轴大体上垂直于所述室衬的内外周。
12.如权利要求1所述的可移动室衬,其中所述底壁、所述圆柱形外壁和所述内边沿是阳极化铝、或粗糙化和阳极化铝。
13.如权利要求1所述的可移动室衬,其中所述加热器包括两个半圆加热器元件。
14.如权利要求1所述的可移动室衬,其中所述底壁、所述圆柱形外壁和所述内边沿的等离子体暴露表面用陶瓷涂层涂布。
15.如权利要求13所述的可移动室衬,其中所述半圆加热器元件中的每一个包括位于其相对端的成对的放射状向外延伸的区段。
16.一种等离子体反应室,其包括:
如权利要求7所述的可移动室衬;
衬底支撑件,所述半导体衬底被支撑于其上;
至少一个射频(RF)电源,其适配来在所述等离子体反应室的运转期间将工艺气体中的至少一种激励为等离子体,其中所述等离子体具有处理所述半导体衬底的用途;
圆柱形室壁;
能竖直移动的致动器,其被附着于所述可移动室衬中的所述电插座,且被适配来将所述室衬沿其轴移动至上部位置以允许通过所述室壁中的槽加载和卸载所述半导体衬底。
17.如权利要求16所述的等离子体反应室,其中:
所述致动器中的至少一个包括电气连接到所述电插座中的一个中的第一加热器触点并电气连接到电源的引线;
所述致动器中的至少一个包括电气连接到所述电插座中的一个中的第二加热器触点并电气连接到所述电接地的另一引线;
所述致动器中的每一个包括电气连接到电插座中的RF返回钮并电气连接到所述RF接地的引线。
18.如权利要求16所述的等离子体反应室,其中所述致动器是气动的。
19.制造如权利要求1所述的可移动室衬的方法,其包括:
将金属板液压成形以形成由所述底壁和所述圆柱形外壁组成的组件;
将金属片加工成所述内边沿或者将熔融金属铸成所述内边沿;
用所述内边沿支撑热触点中的所述加热器;
将所述底壁和所述圆柱形外壁的液压成型的所述组件焊接到所述内边沿;以及
加工或钻制所述底壁中的所述开口;
20.在如权利要求16所述的等离子体反应室中处理半导体衬底的方法,其包括:
(a)将半导体衬底加载到所述等离子体反应室中并将所述半导体衬底放置到所述衬底支撑件上;
(b)移动致动器以将所述室衬降低到所述下部位置;
(c)用所述加热器调整所述室衬的温度;
(d)将工艺气体进给到所述室中并利用所述RF电源将所述工艺气体激励为等离子体;
(e)用所述等离子体等离子体蚀刻所述半导体衬底;
(f)移动所述致动器以将所述室衬升高到所述上部位置;
(g)将所述半导体衬底传递到所述等离子体反应室外面。
CN201180031151.8A 2010-05-21 2011-05-13 等离子体处理装置的可移动室衬等离子体约束屏蔽组合 Active CN102947920B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/785,232 US8597462B2 (en) 2010-05-21 2010-05-21 Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US12/785,232 2010-05-21
PCT/US2011/000857 WO2011146108A2 (en) 2010-05-21 2011-05-13 Movable chamber liner plasma confinement screen combination for plasma processing apparatuses

Publications (2)

Publication Number Publication Date
CN102947920A true CN102947920A (zh) 2013-02-27
CN102947920B CN102947920B (zh) 2015-06-03

Family

ID=44972834

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180031151.8A Active CN102947920B (zh) 2010-05-21 2011-05-13 等离子体处理装置的可移动室衬等离子体约束屏蔽组合

Country Status (7)

Country Link
US (2) US8597462B2 (zh)
JP (2) JP5902153B2 (zh)
KR (1) KR101976852B1 (zh)
CN (1) CN102947920B (zh)
SG (1) SG185669A1 (zh)
TW (2) TWI501288B (zh)
WO (1) WO2011146108A2 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103887138A (zh) * 2014-03-31 2014-06-25 上海华力微电子有限公司 一种刻蚀设备的边缘环
TWI578370B (zh) * 2014-12-22 2017-04-11 Advanced Micro-Fabrication Equipment Inc Plasma processing device and plasma etching method
CN106783490A (zh) * 2015-11-23 2017-05-31 北京北方微电子基地设备工艺研究中心有限责任公司 内衬接地组件、反应腔室及半导体加工设备
CN109072427A (zh) * 2016-03-25 2018-12-21 应用材料公司 用于高温处理的腔室衬垫
CN111326386A (zh) * 2018-12-14 2020-06-23 北京北方华创微电子装备有限公司 聚焦环和预清洗腔室
CN111466009A (zh) * 2017-12-15 2020-07-28 朗姆研究公司 等离子体室中使用的环形结构和系统
CN112534543A (zh) * 2018-08-07 2021-03-19 希尔福克斯有限公司 用于等离子体室的l形等离子体约束环
CN113337810A (zh) * 2021-05-26 2021-09-03 北京北方华创微电子装备有限公司 内衬装置及半导体加工设备
CN114284128A (zh) * 2021-12-27 2022-04-05 合肥综合性国家科学中心能源研究院(安徽省能源实验室) 一种控制离子源引出粒子密度的调节装置及其控制方法

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100151150A1 (en) * 2007-05-18 2010-06-17 Ulvac, Inc. Plasma processing apparatus and manufacturing method of deposition-inhibitory member
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
KR101895307B1 (ko) * 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
TWI511223B (zh) * 2011-06-03 2015-12-01 Hermes Epitek Corp 半導體設備
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
SG11201402058TA (en) 2011-11-24 2014-09-26 Lam Res Corp Symmetric rf return path liner
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
US8895452B2 (en) * 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
KR102291460B1 (ko) * 2013-04-30 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 공간적으로 분포된 가스 통로들을 갖는 유동 제어 라이너
CN104233191A (zh) * 2013-06-08 2014-12-24 北京北方微电子基地设备工艺研究中心有限责任公司 加热腔室及等离子体加工设备
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
CN112366128B (zh) * 2014-04-09 2024-03-08 应用材料公司 用于在处理腔室中提供对称的流动路径的流动模块
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
CN105789010B (zh) * 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
US20170278679A1 (en) * 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11145495B2 (en) * 2016-06-15 2021-10-12 Evatec Ag Vacuum treatment chamber and method of manufacturing a vacuum treated plate-shaped substrate
US10266947B2 (en) 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
JP6683575B2 (ja) * 2016-09-01 2020-04-22 東京エレクトロン株式会社 プラズマ処理装置
KR101961326B1 (ko) 2016-10-19 2019-07-18 세메스 주식회사 기판을 처리하는 장치의 부품 세정 방법 및 장치
KR102587615B1 (ko) 2016-12-21 2023-10-11 삼성전자주식회사 플라즈마 처리 장치의 온도 조절기 및 이를 포함하는 플라즈마 처리 장치
US11217434B2 (en) 2016-12-27 2022-01-04 Evatec Ag RF capacitive coupled dual frequency etch reactor
JP2019009185A (ja) * 2017-06-21 2019-01-17 東京エレクトロン株式会社 プラズマ処理装置
US20190006154A1 (en) * 2017-06-28 2019-01-03 Chaolin Hu Toroidal Plasma Chamber
US11124659B2 (en) 2018-01-30 2021-09-21 Lam Research Corporation Method to selectively pattern a surface for plasma resistant coat applications
CN110534391B (zh) * 2018-05-23 2022-04-22 北京北方华创微电子装备有限公司 腔室内衬、反应腔室及半导体加工设备
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
CN109175666B (zh) * 2018-07-20 2020-08-25 靖江先锋半导体科技有限公司 一种带磁铁环的直冷阴极衬套的焊接工艺
JP7451490B2 (ja) * 2018-07-30 2024-03-18 ノードソン コーポレーション プラズマを用いたワーク処理用のシステム
JP7093464B2 (ja) 2018-08-01 2022-06-29 北京北方華創微電子装備有限公司 反応チャンバおよびプラズマ装置
US10923327B2 (en) * 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
KR102642790B1 (ko) * 2018-08-06 2024-03-05 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버를 위한 라이너
US11415147B2 (en) * 2019-05-28 2022-08-16 Applied Materials, Inc. Pumping liner for improved flow uniformity
CN112071733B (zh) * 2019-06-10 2024-03-12 中微半导体设备(上海)股份有限公司 用于真空处理设备的内衬装置和真空处理设备
KR102152905B1 (ko) 2019-06-24 2020-09-08 세메스 주식회사 부품 세정 방법 및 장치
CN112447474B (zh) * 2019-09-04 2022-11-04 中微半导体设备(上海)股份有限公司 一种具有可移动环的等离子体处理器
KR102114891B1 (ko) * 2019-11-18 2020-05-26 주식회사 기가레인 플라즈마 처리 장치
CN110919216A (zh) * 2019-11-26 2020-03-27 中国电建集团山东电力建设第一工程有限公司 一种盐碱地区火力发电厂接地线焊接的防腐方法
CN112928007B (zh) * 2019-12-06 2023-09-12 中微半导体设备(上海)股份有限公司 等离子体处理设备及用于等离子体处理设备的下电极组件
JP7422531B2 (ja) * 2019-12-17 2024-01-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20210285101A1 (en) * 2020-03-12 2021-09-16 Applied Materials, Inc. Methods and apparatus for conductance liners in semiconductor process chambers
CN111725106B (zh) * 2020-06-22 2023-09-08 北京北方华创微电子装备有限公司 半导体工艺设备及其工艺腔室
JP7446177B2 (ja) 2020-08-03 2024-03-08 東京エレクトロン株式会社 基板処理装置および中継部材の駆動方法
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
TWI800965B (zh) * 2020-12-23 2023-05-01 大陸商中微半導體設備(上海)股份有限公司 等離子體處理裝置及調節方法

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5306895A (en) * 1991-03-26 1994-04-26 Ngk Insulators, Ltd. Corrosion-resistant member for chemical apparatus using halogen series corrosive gas
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US20010054381A1 (en) * 1998-12-14 2001-12-27 Salvador P Umotoy High temperature chemical vapor deposition chamber
US20020076490A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Variable gas conductance control for a process chamber
US20030037880A1 (en) * 2000-11-01 2003-02-27 Applied Materials, Inc. Dielectric etch chamber with expanded process window
US20050092732A1 (en) * 2003-10-31 2005-05-05 Lg Philips Lcd Co., Ltd. Susceptor for deposition process equipment and method of fabricating a heater therein
US20050121143A1 (en) * 2002-05-23 2005-06-09 Lam Research Corporation Pump baffle and screen to improve etch uniformity
US20060105575A1 (en) * 2003-03-14 2006-05-18 Lam Research Corporation Small volume process chamber with hot inner surfaces
US20060151114A1 (en) * 2005-01-11 2006-07-13 Fink Steven T Plasma processing system and baffle assembly for use in plasma processing system
US20060231208A1 (en) * 2001-03-28 2006-10-19 Tokyo Electron Limited Plasma processing apparatus, plasma processing method and wave retardation plate
US20060292759A1 (en) * 2005-06-28 2006-12-28 Takayuki Ito Apparatus for annealing, method for annealing, and method for manufacturing a semiconductor device

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4013415A (en) * 1974-06-07 1977-03-22 Igor Sergeevich Burov Plasma-chemical reactor for treatment of disperse materials
US4289598A (en) * 1980-05-03 1981-09-15 Technics, Inc. Plasma reactor and method therefor
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
KR100302167B1 (ko) 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
US5822171A (en) * 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
US5685942A (en) 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
ES2218328T5 (es) 1995-11-17 2011-11-11 GESELLSCHAFT FüR BIOTECHNOLOGISCHE FORSCHUNG MBH (GBF) Derivados de epotilón, su preparación y utilización.
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6001426A (en) * 1996-07-25 1999-12-14 Utron Inc. High velocity pulsed wire-arc spray
US6189482B1 (en) * 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
JP3598717B2 (ja) 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
US5944942A (en) 1998-03-04 1999-08-31 Ogle; John Seldon Varying multipole plasma source
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6204607B1 (en) 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core
US6019060A (en) 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6853141B2 (en) 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US6617538B1 (en) * 2000-03-31 2003-09-09 Imad Mahawili Rotating arc plasma jet and method of use for chemical synthesis and chemical by-products abatements
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
WO2002037541A2 (en) * 2000-11-01 2002-05-10 Applied Materials, Inc. Etch chamber for etching dielectric layer with expanded process window
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
JP2002270484A (ja) 2001-03-07 2002-09-20 Tokyo Electron Ltd 冷却処理装置及び冷却処理方法
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6814813B2 (en) * 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
KR100426816B1 (ko) 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
EP1602748B1 (en) * 2003-03-12 2014-07-09 Toyo Seikan Group Holdings, Ltd. Microwave plasma processing device
KR101141488B1 (ko) 2003-03-21 2012-05-03 도쿄엘렉트론가부시키가이샤 처리중의 기판이면(裏面) 증착 감소방법 및 장치
JP2004356311A (ja) * 2003-05-28 2004-12-16 Sony Corp プラズマ処理装置
US7294224B2 (en) * 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
US20060289397A1 (en) * 2005-05-16 2006-12-28 Imad Mahawili Arc plasma jet and method of use for chemical scrubbing system
JP2007012724A (ja) * 2005-06-29 2007-01-18 Matsushita Electric Ind Co Ltd プラズマ処理装置および処理方法
US7416677B2 (en) 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US8043430B2 (en) 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US7699634B2 (en) 2007-03-16 2010-04-20 Lam Research Corporation High power electrical connector for a laminated heater
US20100151150A1 (en) * 2007-05-18 2010-06-17 Ulvac, Inc. Plasma processing apparatus and manufacturing method of deposition-inhibitory member
US8287650B2 (en) * 2008-09-10 2012-10-16 Applied Materials, Inc. Low sloped edge ring for plasma processing chamber
US20100081284A1 (en) * 2008-09-29 2010-04-01 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
JP2010084169A (ja) * 2008-09-30 2010-04-15 Canon Anelva Corp 真空排気方法、真空排気プログラム、および真空処理装置
US20100116823A1 (en) * 2008-11-07 2010-05-13 Applied Materials, Inc. Hydroformed fluid channels
US8877001B2 (en) * 2009-05-07 2014-11-04 Applied Materials, Inc. Shuttered gate valve
US20110097901A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US8826855B2 (en) * 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5306895A (en) * 1991-03-26 1994-04-26 Ngk Insulators, Ltd. Corrosion-resistant member for chemical apparatus using halogen series corrosive gas
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US20010054381A1 (en) * 1998-12-14 2001-12-27 Salvador P Umotoy High temperature chemical vapor deposition chamber
US20030037880A1 (en) * 2000-11-01 2003-02-27 Applied Materials, Inc. Dielectric etch chamber with expanded process window
US20020076490A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Variable gas conductance control for a process chamber
US20060231208A1 (en) * 2001-03-28 2006-10-19 Tokyo Electron Limited Plasma processing apparatus, plasma processing method and wave retardation plate
US20050121143A1 (en) * 2002-05-23 2005-06-09 Lam Research Corporation Pump baffle and screen to improve etch uniformity
US20060105575A1 (en) * 2003-03-14 2006-05-18 Lam Research Corporation Small volume process chamber with hot inner surfaces
US20050092732A1 (en) * 2003-10-31 2005-05-05 Lg Philips Lcd Co., Ltd. Susceptor for deposition process equipment and method of fabricating a heater therein
US20060151114A1 (en) * 2005-01-11 2006-07-13 Fink Steven T Plasma processing system and baffle assembly for use in plasma processing system
US20060292759A1 (en) * 2005-06-28 2006-12-28 Takayuki Ito Apparatus for annealing, method for annealing, and method for manufacturing a semiconductor device

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103887138A (zh) * 2014-03-31 2014-06-25 上海华力微电子有限公司 一种刻蚀设备的边缘环
CN103887138B (zh) * 2014-03-31 2017-01-18 上海华力微电子有限公司 一种刻蚀设备的边缘环
TWI578370B (zh) * 2014-12-22 2017-04-11 Advanced Micro-Fabrication Equipment Inc Plasma processing device and plasma etching method
CN106783490A (zh) * 2015-11-23 2017-05-31 北京北方微电子基地设备工艺研究中心有限责任公司 内衬接地组件、反应腔室及半导体加工设备
CN106783490B (zh) * 2015-11-23 2018-09-18 北京北方华创微电子装备有限公司 内衬接地组件、反应腔室及半导体加工设备
CN109072427B (zh) * 2016-03-25 2020-10-13 应用材料公司 用于高温处理的腔室衬垫
CN109072427A (zh) * 2016-03-25 2018-12-21 应用材料公司 用于高温处理的腔室衬垫
US11047043B2 (en) 2016-03-25 2021-06-29 Applied Materials, Inc. Chamber liner for high temperature processing
CN111466009A (zh) * 2017-12-15 2020-07-28 朗姆研究公司 等离子体室中使用的环形结构和系统
CN111466009B (zh) * 2017-12-15 2023-07-07 朗姆研究公司 等离子体室中使用的环形结构和系统
CN112534543A (zh) * 2018-08-07 2021-03-19 希尔福克斯有限公司 用于等离子体室的l形等离子体约束环
CN111326386A (zh) * 2018-12-14 2020-06-23 北京北方华创微电子装备有限公司 聚焦环和预清洗腔室
CN111326386B (zh) * 2018-12-14 2023-04-14 北京北方华创微电子装备有限公司 聚焦环和预清洗腔室
CN113337810A (zh) * 2021-05-26 2021-09-03 北京北方华创微电子装备有限公司 内衬装置及半导体加工设备
CN114284128A (zh) * 2021-12-27 2022-04-05 合肥综合性国家科学中心能源研究院(安徽省能源实验室) 一种控制离子源引出粒子密度的调节装置及其控制方法
CN114284128B (zh) * 2021-12-27 2024-01-26 合肥综合性国家科学中心能源研究院(安徽省能源实验室) 一种控制离子源引出粒子密度的调节装置及其控制方法

Also Published As

Publication number Publication date
US9490135B2 (en) 2016-11-08
CN102947920B (zh) 2015-06-03
US20110287632A1 (en) 2011-11-24
US20140051254A1 (en) 2014-02-20
JP6196697B2 (ja) 2017-09-13
WO2011146108A3 (en) 2012-04-05
TWI501288B (zh) 2015-09-21
WO2011146108A2 (en) 2011-11-24
SG185669A1 (en) 2012-12-28
KR101976852B1 (ko) 2019-05-10
TW201201245A (en) 2012-01-01
US8597462B2 (en) 2013-12-03
JP5902153B2 (ja) 2016-04-13
TW201537614A (zh) 2015-10-01
JP2013531368A (ja) 2013-08-01
TWI588866B (zh) 2017-06-21
JP2016136636A (ja) 2016-07-28
KR20130115099A (ko) 2013-10-21

Similar Documents

Publication Publication Date Title
CN102947920B (zh) 等离子体处理装置的可移动室衬等离子体约束屏蔽组合
US8607731B2 (en) Cathode with inner and outer electrodes at different heights
JP5805227B2 (ja) プラズマ処理装置
CN100423196C (zh) 螺旋谐振器型等离子体处理设备
JP4677918B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2018190978A (ja) 対称的な給電構造を有する補助電極を用いてプラズマ処理チャンバ内のウェハエッジシースを変調する方法および受動的な方法で動作するとき制御可能なインピーダンスを接地し、能動的に電力を供給するときプラズマへの対称rf電力入力を可能とするドライブ
CN106463446A (zh) 载置台及等离子体处理装置
US10546725B2 (en) Plasma processing apparatus
CN101663421A (zh) 环形挡板
JP5837793B2 (ja) 基板処理装置、半導体装置の製造方法及び基板処理装置のバッフル構造
KR101463984B1 (ko) 플라즈마 처리 시스템
JP2007214211A (ja) プラズマ処理装置
JP2024028752A (ja) 基板処理装置および半導体装置の製造方法
JP2007335346A (ja) マイクロ波導入装置及びプラズマ処理装置
CN220155485U (zh) 一种等离子体处理设备及其升降环结构
KR101098793B1 (ko) 대구경 웨이퍼 처리를 위한 적응형 플라즈마 소스 및 플라즈마 챔버

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant