TW201522186A - 晶圓處理系統及處理晶圓之方法 - Google Patents

晶圓處理系統及處理晶圓之方法 Download PDF

Info

Publication number
TW201522186A
TW201522186A TW103112655A TW103112655A TW201522186A TW 201522186 A TW201522186 A TW 201522186A TW 103112655 A TW103112655 A TW 103112655A TW 103112655 A TW103112655 A TW 103112655A TW 201522186 A TW201522186 A TW 201522186A
Authority
TW
Taiwan
Prior art keywords
wafer
vacuum
suction
suction plate
positioning
Prior art date
Application number
TW103112655A
Other languages
English (en)
Other versions
TWI567014B (zh
Inventor
Ming-Tung Wu
Yuan-Chih Hsieh
Lan-Lin Chao
Chia-Shiung Tsai
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201522186A publication Critical patent/TW201522186A/zh
Application granted granted Critical
Publication of TWI567014B publication Critical patent/TWI567014B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/34Accessories
    • B24B37/345Feeding, loading or unloading work specially adapted to lapping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B41/00Component parts such as frames, beds, carriages, headstocks
    • B24B41/005Feeding or manipulating devices specially adapted to grinding machines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Abstract

一種晶圓處理系統,包括一機器手臂、一工作台及一或多個推進器。機器手臂具有一吸取板於一端處。工作台係定位於機器手臂可及之範圍之內,並且具有用於支撐一晶圓之一上表面。上表面具有一真空區域,係用以吸住及固持晶圓於工作台之上表面。推進器係連接於機器手臂,並且係繞著吸取板之周圍延伸。推進器係使由吸取板所固持之晶圓壓抵工作台之上表面。

Description

晶圓處理系統及處理晶圓之方法
本發明是有關於一種用於處理半導體晶圓之系統及方法,特別是有關於一種晶圓研磨系統。
積體電路裝置是在半導體晶圓上所構成。在切割及封裝之前,研磨晶圓以使其薄化是很普遍的。適合於這些應用之自動研磨機係為商業上可利用的。
本發明基本上採用如下所詳述之特徵以為了要解決上述之問題。
本發明之一實施例提供一種晶圓處理系統,其包括一機器手臂,具有一吸取板於一端處;一工作台,定位於該機器手臂可及之範圍之內,並且具有用於支撐一晶圓之一上表面,其中,該上表面具有一真空區域,係用以吸住及固持該晶圓於該工作台之該上表面;以及一或多個推進器,連接於該機器手臂,並且繞著該吸取板之周圍延伸,其中,該一或多個推進器係使由該吸取板所固持之該晶圓壓抵該工作台之該上表面。
根據上述之實施例,該工作台係為位於一晶圓研磨系統內之一夾持台。
根據上述之實施例,該晶圓處理系統更包括一彈簧負載連接件,係位於該吸取板與該一或多個推進器之間,其中,該彈簧負載連接件係允許在該吸取板與該一或多個推進器之間的相對運動。
根據上述之實施例,該吸取板具有一平面晶圓吸取面,當該彈簧負載連接件位於壓縮位置時,該一或多個推進器之複數個頂端與該吸取板之該平面晶圓吸取面係位於相同之一平面之中。當該彈簧負載連接件位於解壓縮位置時,該一或多個推進器係不及於該吸取板之該平面晶圓吸取面之該平面。
根據上述之實施例,該吸取板具有一平面晶圓吸取面,該一或多個推進器包含一平台附著於該機器手臂以及附著於該平台的增設部分面向該吸取板之該平面晶圓吸取面之方向延伸。
根據上述之實施例,該吸取板具有一平面晶圓吸取面,以及該一或多個推進器具有平行於該吸取板之該平面晶圓吸取面之複數個推進器棒。
根據上述之實施例,該晶圓處理系統更包括一定位台,具有用於支撐一晶圓之一上表面以及用於吸取與固持該晶圓抵靠該定位台之一真空岐管,其中,該真空岐管係專有地透過位於該上表面上之一真空區域內之複數個孔洞打開至該定位台之該上表面之上,以及該真空區域係小於該夾持台之該上表面之面積。
根據上述之實施例,該晶圓處理系統更包括一定 位台,具有用於支撐一晶圓之一上表面以及用於吸取與固持該晶圓抵靠該定位台之一真空岐管,其中,該真空岐管係專有地透過位於該上表面上之一真空區域內之複數個孔洞打開至該定位台之該上表面之上,以及該真空區域係被限制於直徑不大於50mm之一圓形區域之內。
根據上述之實施例,該晶圓處理系統更包括一定位台,具有用於支撐一晶圓之一上表面以及用於吸取與固持該晶圓抵靠該定位台之一真空岐管,其中,該定位台之該上表面係小於該夾持台之該上表面。
根據上述之實施例,該晶圓處理系統更包括一定位台,具有用於支撐一晶圓之一上表面以及用於吸取與固持該晶圓抵靠該定位台之一真空岐管,其中,該定位台之該上表面係以非連接於該真空岐管之任何凹陷或孔洞之不存在為特徵。
根據上述之實施例,含有該上表面之該定位台之最上部位係透過複數個螺絲附著於該系統。
本發明之另一實施例提供一種處理晶圓之方法,其包括:利用一機器手臂從一第一工作台提起一晶圓至一第二工作台,其中,該機器手臂係利用一吸取板固持該晶圓於一中央位置處;以及在該晶圓與該吸取板仍然保持接觸之情況下,利用附著於該機器手臂之一推進器將該晶圓壓抵該第二工作台,其中,該推進器係接觸該晶圓於位於該中央位置外之位置處。
根據上述之實施例,該第一工作台係為一定位台,以及該第二工作台係為一夾持台。
根據上述之實施例,該處理晶圓之方法更包括:吸取該晶圓抵靠於該第二工作台,其中,利用附著於該機器手臂之該推進器將該晶圓壓抵該第二工作台係增加位於該晶圓與該第二工作台間之一吸取面積。
根據上述之實施例,在該晶圓接觸該第二工作台之前,該推進器係不接觸該晶圓。
根據上述之實施例,在將該晶圓壓抵於該第二工作台之前,該晶圓係被彎曲遠離於該第二工作台。
根據上述之實施例,在將該晶圓壓抵於該第二工作台之前,該晶圓係被彎曲朝向該第二工作台。
根據上述之實施例,該處理晶圓之方法更包括:藉由透過在一晶圓支撐表面中之每一個凹入部引入空氣至一真空岐管之中,使該第一工作台之該晶圓支撐表面保持清潔。
根據上述之實施例,該處理晶圓之方法更包括:在從該第一工作台提起該晶圓之前,藉由專有地透過位於該第一工作台之一小中央區域內之複數個孔洞施加吸力,固持該晶圓抵靠於該第一工作台。
本發明之又一實施例提供一種研磨晶圓之方法,其包括:以上述之處理晶圓之方法處理一系列之晶圓,其中,由該機器手臂所提起之一些晶圓係被向上彎曲,以及一些晶圓係被向下彎曲。
為使本發明之上述目的、特徵和優點能更明顯易懂,下文特舉較佳實施例並配合所附圖式做詳細說明。
100‧‧‧研磨系統
120‧‧‧機器抓取手臂
130‧‧‧旋轉台
133A、133B、133C‧‧‧研磨轉軸
134‧‧‧裝載位置
150‧‧‧晶圓
152‧‧‧高度
154‧‧‧圓周
156‧‧‧空間
160‧‧‧卡匣
300、300A‧‧‧定位台
301‧‧‧框架
305‧‧‧螺絲
307‧‧‧孔洞
310‧‧‧直徑
312‧‧‧晶圓支撐上表面
314‧‧‧中央區域
315、315A‧‧‧溝渠
317‧‧‧上部位
319‧‧‧真空岐管
400‧‧‧機器手臂
401‧‧‧吸取板
402‧‧‧平面晶圓吸取面
403‧‧‧推進器
405‧‧‧受動器端
407‧‧‧手臂
409‧‧‧支撐框架
411‧‧‧彈簧負載連接件
413‧‧‧框架延伸部
415‧‧‧推進器棒
417、417A‧‧‧推進器頂端
418‧‧‧接觸表面
419‧‧‧圓柱
420‧‧‧寬度
500‧‧‧夾持台
501‧‧‧細孔結構
502‧‧‧真空表面
504‧‧‧上表面
第1圖係顯示根據本發明之一實施例之一研磨系統;第2圖係顯示根據本發明之另一實施例之一方法之流程圖;第3圖係顯示根據本發明之一實施例之一定位台之側邊之剖面示意圖,其中,一向上彎曲之晶圓係被放置於定位台之頂部之上;第4圖係顯示根據第3圖之定位台之俯視示意圖;第5圖係顯示根據第3圖之定位台之側邊之剖面示意圖,其中,一向下彎曲之晶圓係被放置於定位台之頂部之上;第6圖係顯示根據本發明之另一實施例之一定位台;第7圖係顯示根據本發明之一實施例之一機器手臂之側邊之剖面示意圖,其中,機器手臂係使一晶圓接觸一平台;第8圖係顯示根據第7圖之在機器手臂上之推進器之頂端之形狀之仰視示意圖;第9圖係顯示根據本發明之另一實施例之一推進器之頂端之形狀;第10圖係顯示根據第7圖之機器手臂,其中,機器手臂係將一晶圓壓抵一平台;以及第11圖係顯示根據第7圖之機器手臂,其中,機器手臂係從一工作台升起。
茲配合圖式說明本發明之較佳實施例。
有關本發明之前述及其他技術內容、特點與功 效,在以下配合參考圖式之一較佳實施例的詳細說明中,將可清楚的呈現。以下實施例中所提到的方向用語,例如:上、下、左、右、前或後等,僅是參考附加圖式的方向。因此,使用的方向用語是用來說明並非用來限制本發明。
自動研磨機一般來說無法處理被彎曲超過500μm之晶圓。被使用去固持晶圓於定位台上之真空系統一般係無法用於被向上彎曲400μm之晶圓,以及被使用去固持晶圓於夾持台上之真空系統一般係無法用於被向上彎曲480μm之晶圓。本發明係提供了兩種解決方案。一種解決方案是去縮減一工作台之真空面積。另一種解決方案是去增加具有複數個推進器之一機器手臂,其中,複數個推進器可增加晶圓與一工作台之真空面積之間的接觸。上述任一種解決方案都能夠被使用,當一研磨系統擁有縮減真空之面積應用於定位台,以及具有複數個推進器之一機器手臂應用於夾持台,提供了最好的解決辦法。根據本發明之實施例之系統能夠處理被彎曲超過500μm之晶圓。在一些實施例之中,這些系統能夠處理被彎曲超過900μm之晶圓,並且能夠容忍甚至更大的晶圓彎曲。
第1圖係顯示根據本發明之一實施例之一研磨系統100。雖然此範例使用一研磨系統,但由本發明所提供之晶圓處理系統及方法能夠被應用於使用自動化處理晶圓之任何系統。研磨系統100包括有一定位台300、一機器抓取手臂120、一機器手臂400以及攜載複數個夾持台500之一旋轉台130。研磨轉軸133A、133B及133C是被配置於旋轉台130之上,以提供由夾持台500所固持之晶圓150之粗、中及細研磨。當定位台300 旋轉時,夾持台500會轉換位置。機器手臂400能夠裝載晶圓150至位於裝載位置134之一夾持台500之上。機器手臂400能夠被使用去從夾持台500卸載晶圓150。然而,在大部分之實施例之中,另一機器人(未顯示)是被提供用於卸載。
第2圖係顯示根據本發明之另一實施例之一方法200之流程圖。方法200係為使研磨系統100運作之方法。方法200係開始於步驟201,放置一晶圓150於定位台300之上。在一些實施例之中,此步驟是藉由使機器抓取手臂120從一卡匣160抽取一晶圓150以及放置晶圓150於定位台300之上所完成。第3圖係顯示定位台300之一些特徵之剖面側視示意圖。定位台300係提供根據本發明之另一實施例之一範例。第4圖係顯示定位台300之俯視示意圖。
步驟203係透過一真空岐管319抽真空,其中,真空岐管319是被成型於定位台300之一上部位317之中。真空岐管319係打開至定位台300之一晶圓支撐上表面312之上。抽真空係產生一真空表面於吸住晶圓150抵靠定位台300之晶圓支撐上表面312之一中央區域314之內。在一些實施例之中,定位台300係使晶圓150旋轉以促進晶圓150之位置之精確決定。在這些實施例之中,由定位台300所施加之吸取力係足夠去防止晶圓150相對於定位台300之上部位317移動,當晶圓150是正被旋轉時。
真空岐管319包括有複數個溝渠315以及一孔洞307。真空岐管319之各種部分是被通道(例如,第4圖所示之溝渠315A)內連接。一真空幫浦(未顯示)是透過孔洞307而連接於 真空岐管319。產生一足夠低的壓力於真空岐管319之內以將一晶圓150固定緊靠晶圓支撐上表面312,一般係需要一密封形成於晶圓150與真空岐管319之所有開口之間至晶圓支撐上表面312之上。在此範例之中,開口係溝渠315及孔洞307會合晶圓支撐上表面312於中央區域314之內。
根據本發明之一實施例,真空岐管319具有複數個開口於晶圓支撐上表面312之上,其係為專有地位於中央區域314之內,其係為具有直徑310之一圓形區域。直徑310是遠小於研磨系統100被設計去處理之晶圓150之直徑。在第3圖之中,一被彎曲之晶圓是被顯示位於定位台300之晶圓支撐上表面312之上。在中央區域314內位於晶圓支撐上表面312上之晶圓150之最大高度152是遠小於靠近晶圓150之周圍之高度152。在中央區域314內位於晶圓支撐上表面312上之晶圓150之最大高度152會變得較小的,當中央區域314之直徑310被製作成較小時。藉由使得中央區域314足夠地小這類的一些因素關聯於彎曲之晶圓150例如形成晶圓支撐上表面312之材料的柔軟性、晶圓150之柔軟性以及呈現在晶圓支撐上表面312上之任何液體之黏滯性與表面張力,及一密封能夠形成於晶圓150與真空岐管319之間。在一些實施例之中,在研磨系統100對於彎曲之晶圓150的容許程度,是藉由以一彈性材料形成晶圓支撐上表面312所進一步地增加。
在一些實施例之中,中央區域314之直徑是小於晶圓150之直徑的一半。在大部分的實施例之中,中央區域314之直徑310是晶圓150之直徑的四分之一或更小。在一些實施例之 中,直徑310是小於10cm。在大部分的實施例之中,直徑310是5cm或更小,例如,42mm。在一些實施例之中,在中央區域314內之高度152的最大值是0.4mm或更小。在大部分的實施例之中,在中央區域314內之高度152的最大值是0.2mm或更小。在一些實施例之中,在中央區域314內之高度152的最大值是小於晶圓150之弓狀的一半。在大部分的實施例之中,在中央區域314內之高度152的最大值是小於晶圓150之弓狀的四分之一或更小。晶圓150之弓狀能夠被定義為在兩平行平面間之最大距離,而此兩平行平面係含有在晶圓150之一面上之所有點。
根據本發明之另一實施例,晶圓支撐上表面312不具有任何孔洞、凹陷或凹入部並且沒有鄰接著真空岐管。此種設計係使得在晶圓支撐上表面312上之污染物的累積最小化,以及因此係使得晶圓150之污染最小化。定位台300之上部位317是藉由螺絲305被固著於一框架301。在一些實施例之中,螺絲305係從下方進入上部位317,如第3圖所示,其係避免螺絲開口或螺絲頂部出現在晶圓支撐上表面312之上。殘骸能夠從孔洞、凹陷或其他凹入部被移除掉,藉由透過真空岐管319抽引空氣。在一些實施例之中,研磨系統100是進一步地適合於使空氣之流動反向透過真空岐管319以及從晶圓支撐上表面312向外吹出空氣,其係提供從晶圓支撐上表面312清除殘骸之一種額外的方法。
在大部分的實施例之中,定位台300係固持晶圓150,無論它們是被向上彎曲或向下彎曲。第5圖係顯示定位台300之晶圓支撐上表面312係大於一晶圓150之實施例。在這些 實施例之中,一真空密封係形成於晶圓支撐上表面312與晶圓150之間,位於晶圓150之圓周154處。在這些實施例之中,在晶圓150與晶圓支撐上表面312之間的整個空間156係處於真空之下。
第6圖係顯示一定位台300A,其係為替代實施例之一範例,其中,晶圓支撐上表面312之直徑係為圓形的以及小於研磨系統100所處理之最大晶圓150之直徑。在一些實施例之中,晶圓支撐上表面312之直徑不是很大於中央區域314之直徑310。在一些實施例之中,晶圓支撐上表面312之直徑是大約為10cm或更小。在一些實施例之中,晶圓支撐上表面312之直徑是大約為5cm或更小。在一些實施例之中,晶圓支撐上表面312之直徑係為晶圓150之直徑的一半或更小。在一些實施例之中,晶圓支撐上表面312之直徑係為晶圓150之直徑的四分之一或更小。這些實施例係促進形成一真空密封於被向下彎曲之一晶圓150與晶圓支撐上表面312之間,藉由降低在晶圓支撐上表面312與一被向下彎曲之晶圓150間之空間154以及藉由降低一真空密封必須形成所沿著之路徑長度。這些實施例之一進一步優點是在於它們增加研磨系統100之能力去容忍在晶圓150之彎曲中的徑向不對稱。
仍請參閱第2圖,步驟205係決定一晶圓150之中心的位置,當晶圓150是被固持於定位台300之晶圓支撐上表面312之上時。在一些實施例之中,位置是利用一或多個光偵測器或攝影機來被決定。在一些實施例之中,定位台300包括有一旋轉架,以及當定位台300驅使晶圓150旋轉時,晶圓150之 位置是被感測。
步驟207具有機器手臂400從定位台300舉起晶圓150。在大部分的實施例之中,機器手臂400包括有一手臂407,手臂407具有一吸取板401。吸取板401具有一平面晶圓吸取面402(如第7圖所示),其能夠致使吸取板401去形成一真空密封於晶圓150之一面上以及因而藉由吸力提起及固持晶圓150。在大部分的實施例之中,步驟207包括利用由步驟205所獲得之位置資訊去指示手臂407至晶圓150之上,當晶圓150仍然是位於定位台300之上時。位置資訊係致使手臂407位於一特定位置中用吸取板401舉起晶圓150。該特定位置典型地讓吸取板401位於晶圓150之中央處。在一特定位置中之晶圓150位於吸取板401之上,使得機器手臂400可以把晶圓150放置於夾持台500之中央處。
步驟209係降下晶圓150去接觸夾持台500之一上表面504,如第7圖所示。步驟211係利用附著於機器手臂400之手臂407使晶圓150壓抵夾持台500。步驟213係為藉由吸力固持晶圓150抵靠於夾持台500。如第10圖所示,使晶圓150壓抵夾持台500能夠使晶圓150平坦化以及增進由步驟213所施加之真空。這些步驟能夠是部分同時發生的以及其順序能夠變化。舉例來說,在使晶圓150壓抵夾持台500之前,一真空能夠被打開。如第7圖所示,吸取板401及推進器403是被附著於機器手臂400之手臂407的受動器端405。推進器403具有一支撐框架409、複數個框架延伸部413以及推進器頂端417。框架延伸部413係固持推進器頂端417,如此一來,位於推進器頂端417之 末端處之複數個接觸表面418是繞著吸取板401之周圍被設置。
在此範例之中,推進器頂端417係為八個連接之推進器棒415之一八邊形配置,如第8圖所示。推進器頂端417能夠具有任何適當之結構。對於推進器頂端417之適當結構之範例包括,但不限於,推進器棒415及圓柱419之各種配置,其中,推進器棒415係提供線形之接觸表面418用於壓抵晶圓150,以及圓柱419係提供點狀接觸表面418用於壓抵晶圓150。第9圖係顯示推進器頂端417A,其係提供推進器頂端417是被圓柱419所成型之範例。
在大部分的實施例之中,推進器頂端417之結構是對稱於吸取板401所位於之一中心點。在一些實施例之中,推進器頂端417包括有推進器棒415之一圓形或八邊形的配置。推進器棒415能夠是連接的(如第8圖所示)或不連接的。在一些實施例之中,推進器頂端417是由圓柱419所構成。在一些實施例之中,推進器頂端417包括有圓柱419之一圓形或八邊形配置。這些配置係放置點狀接觸表面418對稱於吸取板401。在一些實施例之中,推進器頂端417是由推進器棒415或圓柱419所構成,其係等距於吸取板401之中心。在一些實施例之中,推進器頂端417包括有多個前述結構,其是被同心地配置以改善具有各種尺寸之晶圓150的處理。在一些實施例之中,推進器頂端417是由例如推進器棒415及圓柱419之元件所構成,其係個別地具有寬度2mm至50mm,例如,10mm。
在一些實施例之中,推進器頂端417是被配置去壓在一晶圓150之上。在一些實施例之中,推進器頂端417係跨越 一寬度420,其乃是晶圓150之直徑之至少大約75%。一常見的晶圓尺寸是200mm。如上所述,在一些實施例之中,推進器頂端417係跨越一寬度420,其乃是至少150mm,例如,200mm。另一典型之晶圓尺寸是300mm。450mm直徑之晶圓是被預期成為普遍的。如上所述,在一些實施例之中,推進器頂端417係跨越一寬度420,其乃是至少225mm。在一些實施例之中,推進器頂端417係跨越一寬度420,其乃是介於大約330mm與大約450mm之間。配置推進器頂端417去作用於晶圓150之上係增加推進器403之效力於降低晶圓150之彎曲。
支撐框架409及框架延伸部413能夠以任何適當之方式被建構用於驅動推進器頂端417。在一些實施例之中,支撐框架409包括有一平台,其具有一形狀掩蓋推進器棒415、圓柱419及形成推進器頂端417之任何其他結構。此結構能夠促進施力均勻地橫跨推進器頂端417。
在大部分的實施例之中,機器手臂400係利用施加透過一吸取板401之吸力固持晶圓用於提起及攜載。機器手臂400也許不如固持晶圓150像定位台300或夾持台500般穩固。在一些實施例之中,為了避免可能移動一晶圓150,機器手臂400係被設計去避免在晶圓150與推進器403之間的接觸,當機器手臂之407要提起晶圓150時。第7圖係提供這些實施例之一範例,其中,晶圓150是顯示接觸夾持台500,在推進器403接觸晶圓150之前。在一些替代的實施例之中,當機器手臂400要提起晶圓150時,推進器403係接觸晶圓150,但當在提起位置中時施加微小的力量或零力量於晶圓150之上。
在大部分的實施例之中,機器手臂400係被設計去允許在推進器頂端417與吸取板401間之相對運動。在一些實施例之中,相對運動是藉由推進器403之彎曲而被提供,其能夠發生於支撐框架409或框架延伸部413之中。在一些實施例之中,如第7圖所示,相對運動是藉由一或多個彈簧負載連接件411所提供。第7圖係顯示機器手臂之407與彈簧負載連接件411位於一未壓縮位置。在此位置處,推進器頂端417之接觸表面418是位於吸取板401之晶圓吸取面402之平面之上。當手臂之407朝向夾持台500下降時,彈簧負載連接件411係壓縮,直到推進器403作用抵靠於晶圓150以及推進器頂端417之接觸表面418趨近晶圓吸取面402之平面為止,如第10圖所示。在一些實施例之中,推進器頂端417是由一彈性體或其他材料所構成,其係產生去避免施加過度的力量於晶圓150之上。
夾持台500包括有一細孔結構501,其係形成一真空岐管以及會合夾持台500之一上表面502以形成一真空表面502。在一些實施例之中,細孔結構501係為陶瓷,其係提供良好的支撐於研磨運作過程之中。在真空表面502與晶圓150之間的接觸面積愈大,則晶圓150能被固持抵靠上表面504之吸力就愈大。如上所述,在一些實施例之中,真空表面502具有幾乎與晶圓150相同之直徑。
在沒有推進器403之作用的情形下,在一晶圓150與真空表面502之間的接觸可以是不足的去形成一真空密封。當推進器403壓抵於晶圓150時,晶圓150會屈曲、降低其弓狀以及增加在晶圓150與真空表面502之間的有效接觸面積。有效 接觸面積之增加能夠是足夠的去允許在晶圓150與夾持台500間之真空發展。一但此真空已被產生,手臂407能夠釋放晶圓150以及從晶圓150升起遠離。來自於夾持台500之吸力然後會固持晶圓150以及維持晶圓150於其未被彎曲的狀態之中,如第11圖所示。
第2圖之方法200係繼續於步驟215,使旋轉台130旋轉。旋轉會使得正好被裝載於位置134處之晶圓150位於第一研磨轉軸133A之下。旋轉亦會使得已完成研磨之一晶圓150位於研磨轉軸133C之下。被研磨之晶圓150將會被卸載,以及由機器手臂400從定位台300移動至夾持台500之另一個晶圓現在是位於位置134處。
步驟217係為研磨晶圓150。研磨能夠藉由任何適當之機構發生。在大部分的實施例之中,研磨轉軸具有研磨料於其下表面(未顯示)之上,並且會旋轉。在一些實施例之中,夾持台500亦會旋轉。
雖然本發明已以較佳實施例揭露於上,然其並非用以限定本發明,此技術領域具有通常知識者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100‧‧‧研磨系統
120‧‧‧機器手臂
130‧‧‧旋轉台
133A、133B、133C‧‧‧研磨轉軸
134‧‧‧裝載位置
150‧‧‧晶圓
160‧‧‧卡匣
300‧‧‧定位台
301‧‧‧框架
314‧‧‧中央區域
317‧‧‧上部位
400‧‧‧機器手臂
401‧‧‧吸取板
403‧‧‧推進器
407‧‧‧手臂
500‧‧‧夾持台

Claims (10)

  1. 一種晶圓處理系統,包括:一機器手臂,具有一吸取板於一端處;一工作台,定位於該機器手臂可及之範圍之內,並且具有用於支撐一晶圓之一上表面,其中,該上表面具有一真空區域,係用以吸住及固持該晶圓於該工作台之該上表面;以及一或多個推進器,連接於該機器手臂,並且繞著該吸取板之周圍延伸;其中,該一或多個推進器係使由該吸取板所固持之該晶圓壓抵該工作台之該上表面。
  2. 如申請專利範圍第1項所述之晶圓處理系統,更包括:一彈簧負載連接件,係位於該吸取板與該一或多個推進器之間,其中,該彈簧負載連接件係允許在該吸取板與該一或多個推進器之間的相對運動。
  3. 如申請專利範圍第2項所述之晶圓處理系統,其中,該吸取板具有一平面晶圓吸取面。當該彈簧負載連接件位於壓縮位置時,該一或多個推進器之複數個頂端與該吸取板之該平面晶圓面係位於相同之一平面之中。當該彈簧負載連接件位於解壓縮位置,該一或多個推進器係不及於該吸取板之該平面晶圓吸取面之該平面。
  4. 如申請專利範圍第1項所述之晶圓處理系統,其中,該吸取板具有一平面晶圓吸取面,該一或多個推進器包含一平台附著於該機器手臂以及附著於該平台的增設部分面向該吸 取板之平面晶圓吸取面之方向延伸。
  5. 如申請專利範圍第1項所述之晶圓處理系統,其中,該吸取板具有一平面晶圓吸取面,以及該一或多個推進器具有平行於該吸取板之該平面晶圓吸取面之複數個推進器棒。
  6. 如申請專利範圍第1項所述之晶圓處理系統,更包括:一定位台,具有用於支撐一晶圓之一上表面以及用於吸取與固持該晶圓抵靠該定位台之一真空岐管,其中,該真空岐管係專有地透過位於該上表面上之一真空區域內之複數個孔洞打開至該定位台之該上表面之上,以及該真空區域係小於該夾持台之該上表面之面積。
  7. 如申請專利範圍第1項所述之晶圓處理系統,更包括:一定位台,具有用於支撐一晶圓之一上表面以及用於吸取與固持該晶圓抵靠該定位台之一真空岐管,其中,該真空岐管係專有地透過位於該上表面上之一真空區域內之複數個孔洞打開至該定位台之該上表面之上,以及該真空區域係被限制於直徑不大於50mm之一圓形區域之內。
  8. 如申請專利範圍第1項所述之晶圓處理系統,更包括:一定位台,具有用於支撐一晶圓之一上表面以及用於吸取與固持該晶圓抵靠該定位台之一真空岐管,其中,該工作台係為位於一晶圓研磨系統內之一夾持台,以及該定位台之該上表面係小於該夾持台之該上表面。
  9. 如申請專利範圍第1項所述之晶圓處理系統,更包括:一定位台,具有用於支撐一晶圓之一上表面以及用於吸取與固持該晶圓抵靠該定位台之一真空岐管,其中,該定位台 之該上表面係以非連接於該真空岐管之任何凹陷或孔洞之不存在為特徵。
  10. 一種處理晶圓之方法,包括:利用一機器手臂從一第一工作台提起一晶圓至一第二工作台,其中,該機器手臂係利用一吸取板固持該晶圓於一中央位置處;在該晶圓與該吸取板仍然保持接觸之情況下,利用附著於該機器手臂之一推進器將該晶圓壓抵該第二工作台,其中,該推進器係接觸該晶圓於位於該中央位置外之位置處;吸取該晶圓抵靠於該第二工作台,其中,利用附著於該機器手臂之該推進器將該晶圓壓抵該第二工作台係增加位於該晶圓與該第二工作台間之一吸取面積;藉由透過在一晶圓支撐表面中之每一個凹入部引入空氣至一真空岐管之中,使該第一工作台之該晶圓支撐表面保持清潔;以及在從該第一工作台提起該晶圓之前,藉由專有地透過位於該第一工作台之一小中央區域內之複數個孔洞施加吸力,固持該晶圓抵靠於該第一工作台。
TW103112655A 2013-12-04 2014-04-07 晶圓處理系統及處理晶圓之方法 TWI567014B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/096,217 US9355882B2 (en) 2013-12-04 2013-12-04 Transfer module for bowed wafers

Publications (2)

Publication Number Publication Date
TW201522186A true TW201522186A (zh) 2015-06-16
TWI567014B TWI567014B (zh) 2017-01-21

Family

ID=53265934

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103112655A TWI567014B (zh) 2013-12-04 2014-04-07 晶圓處理系統及處理晶圓之方法

Country Status (3)

Country Link
US (1) US9355882B2 (zh)
CN (2) CN104690641A (zh)
TW (1) TWI567014B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106872246A (zh) * 2017-01-19 2017-06-20 中国石油大学(北京) 一种用于裂变径迹化学蚀刻的设备及方法

Families Citing this family (251)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN106471614B (zh) * 2014-07-03 2020-08-25 Lpe公司 用于操纵衬底的工具、操纵方法及外延反应器
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
TWI581904B (zh) * 2014-11-18 2017-05-11 漢民科技股份有限公司 工件處理裝置與方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
CN105773347A (zh) * 2016-04-29 2016-07-20 新昌县盛金祺机械有限公司 一种高效轴承磨光设备
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
JP6866115B2 (ja) * 2016-11-04 2021-04-28 株式会社東京精密 ウエハの搬送保持装置
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10068787B2 (en) * 2016-12-30 2018-09-04 Sunpower Corporation Bowing semiconductor wafers
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190116037A (ko) * 2018-04-03 2019-10-14 에스케이하이닉스 주식회사 웨이퍼 척킹 장치 및 이를 포함하는 웨이퍼 테스트 장비
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102576705B1 (ko) 2018-08-30 2023-09-08 삼성전자주식회사 기판 본딩 장치 및 기판의 본딩 방법
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP7256685B2 (ja) * 2019-05-16 2023-04-12 株式会社ディスコ 研削装置
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
JP7396815B2 (ja) 2019-06-12 2023-12-12 株式会社ディスコ ロボットハンド
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
CN111584416A (zh) * 2020-04-30 2020-08-25 南通通富微电子有限公司 一种晶圆搬运装置及晶圆减薄设备
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111554603B (zh) * 2020-06-02 2023-04-28 江西维易尔半导体设备有限公司 一种带孔方形硅片加工传送系统
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112466798B (zh) * 2020-11-30 2022-05-27 长江存储科技有限责任公司 一种半导体机台
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI826206B (zh) * 2022-12-07 2023-12-11 緯創資通股份有限公司 晶圓搬運設備及其吸盤模組

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60157231A (ja) * 1984-01-26 1985-08-17 Toshiba Corp ウエ−ハロ−ダ
JP2000254857A (ja) * 1999-01-06 2000-09-19 Tokyo Seimitsu Co Ltd 平面加工装置及び平面加工方法
JP2001024051A (ja) 1999-07-09 2001-01-26 Tokyo Seimitsu Co Ltd ウェーハ吸着パッド
CN1217387C (zh) * 2000-10-26 2005-08-31 信越半导体株式会社 单晶片的制造方法及研磨装置以及单晶片
JP3433930B2 (ja) 2001-02-16 2003-08-04 株式会社東京精密 ウェーハの平面加工装置及びその平面加工方法
JP2002343756A (ja) * 2001-05-21 2002-11-29 Tokyo Seimitsu Co Ltd ウェーハ平面加工装置
JP2003128279A (ja) * 2001-10-30 2003-05-08 Sharp Corp 板状部材取り出しハンドおよび板状部材の取り出し方法
JP4201564B2 (ja) * 2001-12-03 2008-12-24 日東電工株式会社 半導体ウエハ搬送方法およびこれを用いた半導体ウエハ搬送装置
KR100472959B1 (ko) * 2002-07-16 2005-03-10 삼성전자주식회사 언로딩구조가 개선된 반도체 웨이퍼의 표면평탄화설비
JP2005123485A (ja) * 2003-10-17 2005-05-12 Ebara Corp 研磨装置
CN100467210C (zh) * 2004-03-25 2009-03-11 揖斐电株式会社 真空卡盘和吸附板
JP2006019566A (ja) * 2004-07-02 2006-01-19 Toshiba Corp 半導体基板吸着ハンド及びその操作方法
KR100670762B1 (ko) * 2005-10-27 2007-01-17 삼성전자주식회사 웨이퍼 후면 연마 및 테이프 부착 장치 및 방법
US8245663B2 (en) * 2006-08-22 2012-08-21 Nordson Corporation Apparatus and methods for handling workpieces in a processing system
JP2009004406A (ja) * 2007-06-19 2009-01-08 Disco Abrasive Syst Ltd 基板の加工方法
JP2009021462A (ja) 2007-07-13 2009-01-29 Disco Abrasive Syst Ltd ウェーハの加工方法
JP5180557B2 (ja) * 2007-10-31 2013-04-10 株式会社ディスコ 加工装置
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
CN201257599Y (zh) * 2008-09-05 2009-06-17 申科滑动轴承股份有限公司 一种真空吸盘
US8647171B2 (en) * 2010-03-12 2014-02-11 Wayne O. Duescher Fixed-spindle floating-platen workpiece loader apparatus
JP5846734B2 (ja) * 2010-11-05 2016-01-20 株式会社ディスコ 搬送装置
JP5772092B2 (ja) * 2011-03-11 2015-09-02 富士電機株式会社 半導体製造方法および半導体製造装置
US9082802B2 (en) 2011-11-28 2015-07-14 Macronix International Co., Ltd. Wafer centering hardware design and process
JP2014072510A (ja) * 2012-10-02 2014-04-21 Disco Abrasive Syst Ltd チャックテーブル
JP6113624B2 (ja) * 2013-10-11 2017-04-12 株式会社荏原製作所 基板処理装置および基板処理方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106872246A (zh) * 2017-01-19 2017-06-20 中国石油大学(北京) 一种用于裂变径迹化学蚀刻的设备及方法

Also Published As

Publication number Publication date
TWI567014B (zh) 2017-01-21
CN104690641A (zh) 2015-06-10
CN110216578B (zh) 2021-08-24
CN110216578A (zh) 2019-09-10
US9355882B2 (en) 2016-05-31
US20150155196A1 (en) 2015-06-04

Similar Documents

Publication Publication Date Title
TWI567014B (zh) 晶圓處理系統及處理晶圓之方法
JP5772092B2 (ja) 半導体製造方法および半導体製造装置
JP5846734B2 (ja) 搬送装置
TW201526153A (zh) 具有經減少基板粒子產生的基板支持設備
CN101728300A (zh) 一种非真空吸附晶片夹持机构
JP5144434B2 (ja) 支持装置
JP2011199157A (ja) 粘着テープ貼付け方法および粘着テープ貼付け装置
JP2010135436A (ja) 基板への接着テープ貼り付け装置
JP4323129B2 (ja) 板状物の搬送機構
JP2002246347A (ja) ウェーハの平面加工装置及びその平面加工方法
JP4256132B2 (ja) 板状物の搬送装置
JP7329258B2 (ja) ウェーハ分断装置、反転装置、および搬送システム
JP5449856B2 (ja) 半導体ウエハの搬送方法
JP4796249B2 (ja) 板状物の搬送機構および搬送機構を備えたダイシング装置
JP3259251B2 (ja) ウェーハの平面加工装置及びその平面加工方法
JP2023540884A (ja) Cmp処理のための基板ハンドリングシステム及び方法
TWI459504B (zh) 一種提供夾具平台空氣區的系統及方法
JP2007090469A (ja) 部品搬送装置および部品搬送方法
CN104103568A (zh) 卡盘工作台
TWI658510B (zh) 晶圓研磨方法及裝置
JP5261030B2 (ja) 半導体ウエハの搬送方法
JP5723612B2 (ja) 板状部材の支持装置
JP2014008597A (ja) 研削装置
JP4526316B2 (ja) 被加工物搬送装置
JP5449857B2 (ja) 板状部材の搬送装置及び搬送方法