TW201342473A - 熱處理裝置、溫度控制系統、熱處理方法、溫度控制方法以及記錄有用以執行該熱處理方法或該溫度控制方法的程式之記錄媒體 - Google Patents

熱處理裝置、溫度控制系統、熱處理方法、溫度控制方法以及記錄有用以執行該熱處理方法或該溫度控制方法的程式之記錄媒體 Download PDF

Info

Publication number
TW201342473A
TW201342473A TW101133237A TW101133237A TW201342473A TW 201342473 A TW201342473 A TW 201342473A TW 101133237 A TW101133237 A TW 101133237A TW 101133237 A TW101133237 A TW 101133237A TW 201342473 A TW201342473 A TW 201342473A
Authority
TW
Taiwan
Prior art keywords
supply
cooling
container
processing container
gas
Prior art date
Application number
TW101133237A
Other languages
English (en)
Inventor
Koji Yoshii
Tatsuya Yamaguchi
Wen-Ling Wang
Takanori Saito
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201342473A publication Critical patent/TW201342473A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)

Abstract

本發明之熱處理裝置係具有:處理容器;基板保持部,係於處理容器內,沿一方向以特定間隔保持複數個基板;加熱部,係對處理容器進行加熱;以及冷卻部,係包含供給氣體之供給部與沿一方向設置於各相異位置之複數個供給口,由供給部經供給口各自將氣體供給至處理容器以冷卻處理容器。冷卻部係設置為能獨立地控制經各個供給口所供給之氣體的供給流量。

Description

熱處理裝置、溫度控制系統、熱處理方法、溫度控制方法及記錄有用以執行該熱處理方法或該溫度控制方法的程式之記錄媒體
本發明係關於一種熱處理裝置、溫度控制系統、熱處理方法、溫度控制方法及記錄有用以執行該熱處理方法或該溫度控制方法的程式之記錄媒體。
半導體裝置之製造中,為了對例如半導體晶圓等基板施以氧化、擴散、CVD(Chemical Vapor Deposition)等處理,需使用各種處理裝置。然後,作為其一,已知一種可一次對多數片被處理基板進行熱處理的縱型熱處理裝置。
熱處理裝置具備有處理容器、晶舟、昇降機構與移載機構。晶舟係沿上下方向以特定間隔來保持複數片基板並搬出/搬入處理容器的基板保持部。昇降機構係設置於處理容器下方所形成的裝載區處,將晶舟載置於封閉處理容器開口用之蓋體上部的狀態下使蓋體上昇/下降,而於處理容器與裝載區之間進行晶舟昇降。移載機構係在被搬出至裝載區的晶舟與收納有複數片基板的收納容器之間進行基板移載。
又,作為熱處理裝置,已知有一種具備有對處理容器內晶舟所保持之基板進行加熱的加熱器、及從周圍覆蓋處理容器的護罩者。護罩內側之處理容器周圍處,係設置有加熱器,並區劃出供冷卻處理容器之冷卻氣體流 通用的空間。然後,藉由例如加熱器將處理容器內晶舟所保持之基板加熱而進行熱處理後,將基板冷卻時,係藉由將冷卻氣體供給至空間,以控制基板冷卻速度(參考例如專利文獻1)。
專利文獻1:日本特開2009-81415號公報
但是,於前述熱處理裝置中,熱處理基板後,將基板冷卻時,沿上下方向之冷卻速度會產生差異。
例如專利文獻1所示範例中,冷卻氣體係從設置於護罩下端部之供給口被供給至處理容器與護罩之間的空間,並從下方往上方流通於空間內,再從設置於護罩上端部之排出口排出。因此,沿上下方向之處理容器的冷卻速度便會產生差異,而有在沿上下方向以特定間隔保持於晶舟上的基板之間,熱處理歷程產生差異,造成處理後的基板品質產生差異之虞。
冷卻速度產生差異之情況,亦可考慮到沿上下方向於相異位置處設置複數個加熱器元件,並獨立地控制該等加熱器元件之發熱量,以使沿上下方向之處理容器的冷卻速度相等之方法。但是,由於係將冷卻速度相較其他部分的冷卻速度更快之部分所設置之加熱器元件的發熱量控制為較其他部分所設置之加熱器的發熱量要來得大,因而會有造成冷卻製程中之電力消耗量增加之問題。
又,前述問題不限於沿上下方向保持基板之情況,而在沿任意方向以特定間隔進行保持之情況亦會有共同問題發生。再者,前述問題係不限於對實施基板熱處理之熱處理容器進行冷卻之情況,而在對沿某方向延伸之 容器進行冷卻之情況亦會有共同問題發生。
本發明有鑑於前述各點,提供一種對沿某方向延伸之容器進行冷卻時,無需增加電力消耗量,便可抑制沿延伸方向上之容器冷卻速度產生差異的熱處理裝置、溫度控制系統、熱處理方法及溫度控制方法。
為解決前述問題,本發明係具有後述各手段為特徴。
依本發明之一實施例,可提供一種熱處理裝置,係對基板進行熱處理,其具有:處理容器;基板保持部,係於該處理容器內,沿一方向以特定間隔保持複數個基板;加熱部,係對該處理容器進行加熱;以及冷卻部,係包含供給氣體之供給部與沿該一方向設置於各相異位置之複數個供給口,由該供給部經該供給口各自將氣體供給至該處理容器以冷卻該處理容器;其中該冷卻部係設置為能獨立地控制藉由該供給部經該供給口所各自供給之氣體的供給流量。
又,依本發明之另一實施例,可提供一種溫度控制系統,係控制沿一方向延伸之容器的溫度,其具有:加熱部,係對該容器進行加熱;冷卻部,係包含供給氣體之供給部與沿該一方向設置於各相異位置之複數個供給口,由該供給部經該供給口各自將氣體供給至該容器以冷卻該容器;檢測部,係包含沿該一方向設置於各相異位置的複數個檢測元件,以檢測該容器內沿該一方向之 溫度分佈;以及控制部,係於冷卻該容器時,根據該檢測部所檢出之檢測值來獨立地控制該供給部經各個該供給口所供給之氣體的供給流量,以使沿該一方向之該容器的冷卻速度相等。
又,依本發明之另一實施例,可提供一種一種熱處理方法,係對基板進行熱處理,其具有:熱處理製程,其係在於處理容器內,藉由基板保持部沿一方向以特定間隔保持有複數個基板之狀態下,藉由加熱部來對該處理容器加熱,藉以針對該基板保持部所保持之基板進行熱處理;以及冷卻製程,係於該熱處理製程後,藉由供給部經沿該一方向設置於各相異位置之複數個供給口各自將氣體供給至該處理容器,以對該處理容器進行冷卻;其中,該冷卻製程係獨立地控制藉由該供給部經該供給口所各自供給之氣體的供給流量,以使沿該一方向之該處理容器的冷卻速度相等。
又,依本發明之另一實施例,可提供一種溫度控制方法,係控制沿一方向延伸之容器的溫度,其包含:冷卻製程,係於藉由加熱部來將該容器加熱後,藉由供給部經沿該一方向設置於各相異位置之各個複數個供給口來將氣體供給至該容器,以冷卻該容器;其中,該冷卻製程係獨立地控制藉由該供給部經該供給口所各自供給之氣體的供給流量,以使沿該一方向之該容器的冷卻速度相等。
於以下的敘述中將闡述本發明之其它目的和優點,其 可自以下的敘述而明白得知,或透過本發明之實施而習得。
透過以下特別指出之手段和組合可了解並獲得本發明之其它目的和優點。
以下將參照添附圖式,來加以說明依據以上提供的研究結果所完成之本發明之實施例。在以下敘述中,針對實質上具有相同功能和配置的構成元件則賦予相同元件符號,而僅在必要時進行重覆敘述。
其次,與圖式一起說明本發明之實施形態。
首先,說明有關本發明實施形態之熱處理裝置。熱處理裝置10係具備後述之縱型熱處理爐60,可一次收納多片晶圓W並沿縱向以特定間隔保持於晶舟(boat)上,針對收納之晶圓W進行氧化、擴散、減壓CVD等各種熱處理。以下,舉出例如將水蒸氣所組成之處理氣體供給至設置於後述處理容器65內之基板以進行基板表面氧化處理的熱處理裝置來作為應用範例加以說明。
圖1係本實施形態之熱處理裝置10的概略縱剖面圖。圖2係裝載區40之概略立體圖。圖3係晶舟44之一範例的概略立體圖。
熱處理裝置10具有載置台(load boat)20、框體30及控制部100。
載置台(load boat)20係設置於框體30的前方。框體 30具有裝載區(作業區域)40及熱處理爐60。裝載區40係設置於框體30內之下方,熱處理爐60係設置於框體30內之裝載區40的上方。又,裝載區40與熱處理爐60之間係設置有底板(base plate)31。
載置台(load boat)20係用以將晶圓W搬出/搬入至框體30內。載置台(load boat)20處則載置有收納容器21、22。收納容器21、22為一種密閉型收納容器(FOUP),於前面側具備有可拆卸的蓋體(圖中未顯示),且能以特定間隔收納複數片(例如50片左右)晶圓W。
又,於載置台20下方亦可設置有整列裝置(aligner)23,係可將由後述移載機構47移載來的晶圓W外周緣所設置之缺口部(例如notch)朝同一方向對齊。
裝載區(作業區域)40係於收納容器21、22與後述晶舟44之間進行晶圓W之移載,用以將晶舟44搬入(load)處理容器65內,或將晶舟44由處理容器65搬出(unload)。裝載區40係設置有門體機構41、擋門機構42、蓋體43、晶舟44、基台45a與45b、昇降機構46及移載機構47。
另外,蓋體43及晶舟44相當於本發明之基板保持部。
門體機構41係用以將收納容器21、22之蓋體卸下,以使收納容器21、22內部與裝載區40內部連通開放。
擋門機構42則設置於裝載區40之上方。擋門機構42係設置為會覆蓋(或阻塞)爐口68a,當蓋體43開啟時, 能夠抑制乃至防止高溫爐內的熱量從後述爐口68a擴散至裝載區40。
蓋體43具有保溫筒48及旋轉機構49。保溫筒48係設置於蓋體43上。保溫筒48係防止晶舟44因蓋體43側之傳熱而冷卻,用以讓晶舟44保溫。旋轉機構49係安裝於蓋體43之下部。旋轉機構49係用以讓晶舟44旋轉。旋轉機構49之旋轉軸係設置為會氣密地貫通蓋體43,來使配置於蓋體43上之圖中未顯示的旋轉台進行旋轉。
昇降機構46則在從晶舟44之裝載區40朝處理容器65搬入/搬出時,使蓋體43進行昇降驅動。然後,當藉由昇降機構46而上昇之蓋體43移動至處理容器65內時,蓋體43便會抵接於後述之爐口68a處以密封爐口68a般的結構。然後,蓋體43所載置之晶舟44,便可於處理容器65內保持晶圓W於水平面內旋轉。
另外,熱處理裝置10亦可具有複數個晶舟44。以下,本實施形態係參考圖2,說明有關具有2個晶舟44之範例。
裝載區40係設置有晶舟44a、44b。然後,裝載區40係設置有基台45a、45b及晶舟搬送機構45c。基台45a、45b係各自從蓋體43接收晶舟44a、44b的載置台。晶舟搬送機構45c則係用以將晶舟44a、44b從蓋體43移載至基台45a、45b。
晶舟44a、44b例如為石英製,可將大口徑例如直徑300mm的晶圓W沿上下方向以特定間隔(pitch寬)呈 水平狀態搭載。晶舟44a、44b如圖3所示,係於頂板50與底板51之間介設有複數根(例如3根)支柱52所構成。支柱52上係設置有保持晶圓W用的爪部53。又,亦可與支柱52相同適當地設置有輔助柱54。
移載機構47係用以在收納容器21、22與晶舟44a、44b之間進行晶圓W移載。移載機構47具有基台57、昇降臂58及複數個前叉(移載板;fork)59。基台57係設置為可昇降及旋轉。昇降臂58係設置為可藉由滾珠螺桿等沿上下方向移動(可昇降),基台57則可水平旋轉般地設置於昇降臂58。
圖4係熱處理爐60之概略結構剖面圖。
熱處理爐60可為例如收納複數片被處理基板(例如薄板圓板狀晶圓W)並施以特定熱處理用的縱型爐。
熱處理爐60具備有護罩(jacket)62、加熱器63、空間64及處理容器65。
處理容器65用以收納由晶舟44所保持之晶圓W以進行熱處理。處理容器65為例如石英製,具有縱向長條形狀。
處理容器65係藉由下部之分歧管68而被支撐於底板66處。又,從分歧管68經噴射器(injector)71將處理氣體供給至處理容器65。噴射器71係與氣體供給源72。又,供給至處理容器65之處理氣體或吹淨氣體係經排氣埠73而連接至具備可進行減壓控制之真空泵的排氣系統74。
如前述般,蓋體43在將晶舟44搬入至處理容器65 內時,會將分歧管68下部之爐口68a封閉。如前述般,蓋體43係設置為可藉由昇降機構46進行昇降移動,蓋體43之上部係載置有保溫筒48,保溫筒48之上部則設置有能將多數片晶圓W沿上下方向以特定間隔載置的晶舟44。
護罩62係設置為覆蓋處理容器65周圍,且於處理容器65周圍劃分形成空間64。由於處理容器65為圓筒形狀,因此護罩62亦為圓筒形狀。護罩62係由底板66所支撐,底板66則形成有能讓處理容器65從下方朝上方插入用的開口部67。於護罩62之內側且空間64之外側處,亦可設置有例如由玻璃纖維(glass wool)所構成的隔熱材料62a。
另外,護罩62相當於本發明之覆蓋組件。
本實施形態中,較佳地,開口部67處之護罩62與處理容器65的間隙係設置有能夠抑制空氣經由間隙而從護罩62外部流入空間6用的流入抑制組件67a。作為流入抑制組件67a可使用例如玻璃纖維。藉此,如後述般,則縱使是空間64內部壓力成為較外部壓力(大氣壓)更低壓時,仍可抑制較空間64內部氣體溫度更低的外部空氣經由開口部67而流入空間64內,以防止縱向溫度差的發生。
又,空間64亦可設置有量測空間64內壓相對大氣壓之壓差用的壓差計75。為了量測空間64內壓相對大氣壓之壓差,較佳地,壓差計75宜設置於空間64內連通 至開口部67附近部位處。
加熱器63係設置為覆蓋處理容器65周圍,而用以加熱處理容器65及由晶舟44所保持之晶圓W,即,係用以加熱處理容器65內的被加熱物。加熱器63係設置於護罩62之內側且為空間64之外側。加熱器63由例如碳線等發熱電阻體所構成,可控制流通於空間64內部的氣體溫度,並將處理容器65內部加熱控制在特定溫度(例如50~1200℃)。加熱器63具有作為加熱處理容器65及晶圓W之加熱部的功能。
空間64及處理容器65內部空間係沿縱向分割成複數個單位區域,例如10個單位區域A1、A2、A3、A4、A5、A6、A7、A8、A9、A10。然後,加熱器63亦沿上下方向與單位區域呈1對1對應般地,分割成63-1、63-2、63-3、63-4、63-5、63-6、63-7、63-8、63-9、63-10。加熱器63-1~63-10分別係構成為可藉由例如閘流器(thyristor)所構成的加熱器輸出部86,來對應於各單位區域A1~A10獨立地控制輸出。加熱器63-1~63-10相當於本發明之發熱元件。
另外,本實施形態中,雖係針對將空間64及處理容器65內之空間沿上下方向分割成10單位區域的範例進行說明,但單位區域之分割個數不限於10,而亦可將空間64分割成10以外之數目。又,本實施形態中雖係為均等地分割,但不限於此,而亦可將溫度變化較大之開口部67附近分割成較小區域。
又,加熱器63亦可沿縱向設置於各相異之位置處。如 此,加熱器63亦可不設置為與各單位區域A1~A10呈1對1之對應關係。
空間64係設置有對應於各單位區域A1~A10來檢測溫度用的加熱器溫度感測器Ao1~Ao10。又,處理容器65內之空間亦可設置有對應於各單位區域A1~A10來檢測溫度用的處理容器內溫度感測器Ai1~Ai10。加熱器溫度感測器Ao1~Ao10及處理容器內溫度感測器Ai1~Ai10係沿縱向檢測溫度分佈用的檢測溫度功能之檢測部。
來自加熱器溫度感測器Ao1~Ao10之檢出訊號及來自處理容器內溫度感測器Ai1~Ai10的檢出訊號會各自經由線路81、82被導入至控制部100。導入檢出訊號後之控制部100會計算加熱器輸出部86的設定值,並將計算出的設定值輸出給加熱器輸出部86。然後,輸入設定值後之加熱器輸出部86會將輸入之設定值經加熱器輸出線路87及加熱器端子88各自輸出給加熱器63-1~63-10。藉由例如PID控制來對加熱器輸出部86之設定值進行計算,則控制部100便會控制加熱器輸出部86之朝各加熱器63-1~63-10的輸出,即,各加熱器63-1~63-10之發熱量。
另外,加熱器溫度感測器Ao及處理容器內溫度感測器Ai為了檢測處理容器65內之沿縱向的溫度分佈,只要是沿縱向而分別設置於相異位置處即可。於是,加熱器溫度感測器Ao及處理容器內溫度感測器Ai便可無需 對應於各單位區域A1~A10呈1對1般地設置。
又,如圖4所示,亦可設置有會連同晶圓W一起被裝載/卸載之可動式溫度感測器Ap1~Ap10,亦可將來自可動溫度感測器Ap1~Ap10之檢出訊號經線路83導入至控制部100。
本實施形態中,熱處理爐60具備有將處理容器65冷卻用的冷卻機構90。
冷卻機構90具有送風機(blower)91、送風管92、分歧部93及排氣管94。
送風機(blower)91係用以將例如空氣組成之冷卻氣體吹送至設置有加熱器63之空間64內以冷卻處理容器65。
送風管92係用以將來自送風機91之冷卻氣體輸送至加熱器63。送風管92係經分歧部93而分歧為對應於各單位區域A1~A10的送風管92-1、92-2、92-3、92-4、92-5、92-6、92-7、92-8、92-9、92-10。空間64係設置有朝向對應於各單位區域A1~A10之部分噴出冷卻氣體的噴出孔92a-1~92a-10,所分歧之送風管92-1~92-10係各自連接至各噴出孔92a-1~92a-10。即,冷卻氣體係經由各噴出孔92a-1~92a-10而供給至空間64。圖4所示之範例中,各送風管92-1~92-10及各噴出孔92a-1~92a-10係沿縱向而設置。
另外,噴出孔92a相當於本發明之供給口。
排氣管94係用以將空間64內部空氣排出。空間64 係設置有將冷卻氣體從空間64排出用的排氣口94a,排氣管94之一端係連接至排氣口94a。
又,如圖4所示,亦可於排氣管94之中途設置有熱交換器95,並將排氣管94之另一端連接至送風機91之吸氣側。然後,由排氣管94排出之冷卻氣體可不被排出至工場排氣系統,而是經熱交換器95進行熱交換後回到送風機91,再循環使用。又,此時,亦可經圖中未顯示之空氣過濾器來使其循環。或者,亦可將由空間64排出之冷卻氣體從排氣管94經熱交換器95而排出至工場排氣系統。
送風機(blower)91係可根據來自控制部100之輸出訊號,而控制例如由換流器(inverter)構成之電力供給部91a所供給的電力,藉以控制送風機91的風量之結構。
當來自加熱器溫度感測器Ao1~Ao10之檢出訊號及來自處理容器內溫度感測器Ai1~Ai10之檢出訊號被導入至控制部100時,控制部100會計算電力供給部91a之設定值,並將所計算之設定值輸入至電力供給部91a。然後,輸入設定值後之電力供給部91a會將輸入之設定值經送風機輸出線路91b輸出給送風機91。如此,由控制部100來控制送風機91的風量。
本實施形態中,送風管92-1~92-10係各自設置有閥97(97-1~97-10)。各閥97-1~97-10係設置為可獨立地控制其開合度。閥97-1~97-10具有作為流量控制閥的功能,各送風管92-1~92-10係設置為可獨立控制其流量。 即,經由各噴出孔92a-1~92a-10被供給至空間64之冷卻氣體的流量係設置為可獨立進行控制。
閥97-1~97-10可預先用手動閥等調整其開合度後再使用,或者,亦可如圖4所示般為例如電動閥等,藉由來自閥控制部98之控制訊號來控制其開合度。
如圖4所示範例中,閥97-1~97-10係可由閥控制部98進行控制之結構。導入有來自加熱器溫度感測器Ao1~Ao10之檢出訊號或來自處理容器內溫度感測器Ai1~Ai10之檢出訊號後的控制部100會計算閥控制部98之設定值,並將所計算之設定值輸入至閥控制部98。然後,輸入設定值後之閥控制部98會將輸入之設定值經閥輸出線路99輸出給閥97-1~97-10。如此,控制部100係藉由控制閥97-1~97-10之開合度來控制經各噴出孔92a-1~92a-10而供給的冷卻氣體流量。
另外,亦可在控制送風機91之風量的同時,藉由控制閥97-1~97-10之開合度,來控制經各噴出孔92a-1~92a-10而供給之冷卻氣體流量。
又,送風管92、噴出孔92a及閥97只要沿縱向設置於各相異位置處即可。即,送風管92、噴出孔92a及閥97亦可無需對應於各單位區域A1~A10呈1:1設置。
控制部100具有例如圖中未顯示之演算處理部、記憶部及顯示部。演算處理部為具有例如CPU(Central Processing Unit)的電腦。記憶部為記錄有讓演算處理部執行各種處理用的程式之例如硬碟所構成的電腦可讀式 記錄媒體。顯示部係由例如電腦的畫面所構成。演算處理部會讀取記憶部所記錄之程式,並根據該程式,將控制訊號傳送給構成熱處理裝置之各部位,以執行後述之熱處理。
又,控制部100係包含有控制供給至加熱器63之電力與供給至送風機91之電力用的程式(sequence),以使處理容器65內之被加熱物(晶圓W)的溫度能有效率地收斂至設定溫度(特定溫度)。又,該程式亦可為用以控制加熱器輸出部86對加熱器63供給的電力及電力供給部91a對送風機91供給的電力,並藉由閥控制部98來控制閥97之開合度。
其次,說明使用本實施形態之熱處理裝置的熱處理方法。
圖5係用以說明使用本實施形態之熱處理裝置的熱處理方法中之各製程順序之流程圖。
實施形態(實施例)中,於處理開始後,步驟S11係將晶圓W搬入處理容器65內(搬入製程)。圖1所示之熱處理裝置10的範例中,例如裝載區40中,可藉由移載機構47來將晶圓W從收納容器21移載至晶舟44a,並藉由晶舟搬送機構45c來將裝載有晶圓W之晶舟44a載置於蓋體43。然後,藉由昇降機構46讓載置有晶舟44a之蓋體43上昇而插入處理容器65內部,便可將晶圓W搬入。
其次,步驟S12係對處理容器65內部進行減壓(減 壓製程)。藉由調整排氣系統74之排氣能力或調整設置於排氣系統74與排氣埠73之間的圖中未顯示之流量調整閥,來增加經由排氣埠73而對處理容器65進行排氣的排氣量。然後,將處理容器65內部減壓至特定壓力。
其次,步驟S13中,係將晶圓W之溫度升溫至熱處理晶圓W時的特定溫度(熱處理溫度)為止(回復製程)。
將晶舟44a搬入至處理容器65內部後,處理容器65之設定溫度,即例如可動溫度感測器Ap1~Ap10之溫度會降至接近室溫。因此,藉由將電力供給至加熱器63,來使晶舟44a所搭載之晶圓W溫度上昇至熱處理溫度。
本實施形態中,與後述步驟S15(冷卻製程)相同地,可藉由讓加熱器63之加熱量與冷卻機構90之冷卻量達到平衡,藉以讓晶圓W溫度收斂至熱處理溫度般進行控制。
其次,步驟S14中,藉由加熱器63之加熱,以對晶舟44所保持之晶圓W進行熱處理(熱處理製程)。
由晶舟44沿縱向以特定間隔保持複數個晶圓W,並藉由加熱器63對處理容器65加熱,以使晶圓W之溫度保持於特定溫度。在此狀態下,從氣體供給源72經噴射器71將處理氣體供給至處理容器65內,並對晶圓W表面進行熱處理。供給由例如水蒸氣氣體組成之處理氣體而使晶圓W表面氧化。又,作為晶圓W之熱處理,不限於氧化處理,亦可進行擴散、減壓CVD等之各種熱處理。
其次,步驟S15中,由冷卻機構90經各個複數個噴 出孔92a-1~92a-10將冷卻氣體供給至空間64,藉以將處理容器65冷卻,以使晶圓W溫度從熱處理溫度下降(冷卻製程)。此時,由送風機91所供給之冷卻氣體係經由流量可獨立控制般設置之各個複數送風管92的噴出孔92a而被供給至空間64,藉以將熱處理後之晶圓W冷卻。
將來自加熱器溫度感測器Ao1~Ao10之檢出訊號及來自處理容器內溫度感測器Ai1~Ai10之檢出訊號導入控制部100。導入有檢出訊號後之控制部100會計算加熱器輸出部86之設定值、電力供給部91a之設定值及閥控制部98之設定值,並將所計算之設定值輸入至加熱器輸出部86、電力供給部91a及閥控制部98。輸入設定值後之加熱器輸出部86會將輸入之設定值經加熱器輸出線路87輸出給各加熱器63-1~63-10。又,輸入有設定值之電力供給部91a則將輸入之設定值經送風機輸出線路91b輸出給送風機91。又,輸入有設定值之閥控制部98則將輸入之設定值經閥輸出線路99輸出給閥97-1~97-10。
另外,檢出訊號相當於本發明之檢測值。
此時,係根據處理容器內溫度感測器Ai或加熱器溫度感測器Ao所檢出之檢出訊號,來獨立地控制從各噴出孔92a-1~92a-10所供給之冷卻氣體的流量,以使沿縱向之處理容器65的冷卻速度相等。例如,係獨立地控制從各噴出孔92a-1~92a-10供給至空間64的冷卻氣體流量, 以使處理容器內溫度感測器Ai1~Ai10或加熱器溫度感測器Ao1~Ao10各自檢出之溫度的時間變化率相等。藉由前述控制,便可讓各晶圓W之冷卻速度,即溫度之時間變化率相等。又,開始冷卻製程時之各晶圓W溫度為相等的情況,藉由讓處理容器內溫度感測器Ai或加熱器溫度感測器Ao各自檢出之溫度的時間變化率為相等,便可讓冷卻製程中之各時間點下的各晶圓W溫度達均勻。
又,亦可在控制送風機91之風量的同時,獨力地控制各閥97-1~97-10的開合度,以使處理容器內溫度感測器Ai或加熱器溫度感測器Ao各自檢出之溫度的時間變化率相等。
另外,進行步驟S15(冷卻製程)時,亦可根據預先記錄於程式中的溫度與時間之關係之冷卻曲線,來對各閥97-1~97-10之開合度獨立地進行即時控制。又,亦可於步驟S14(熱處理製程)之後,進行步驟S15(冷卻製程)之前,先獨立地控制各閥97-1~97-10之開合度,再於步驟S15(冷卻製程)中控制送風機91之風量。或者,亦可於開始步驟S11製程之前,先獨立地控制各閥97-1~97-10之開合度,再於步驟S15(冷卻製程)中控制送風機91的風量。
其次,步驟S16係將處理容器65內部回復至大氣壓(復壓製程)。藉由調整排氣系統74之排氣能力或調整設置於排氣系統74與排氣埠73之間的圖中未顯示之流量調整閥,以讓處理容器65排出之排氣量減少,並導入 例如氮氣(N2)吹淨氣體,以使處理容器65內部回復至大氣壓。
其次,步驟S17係從處理容器65將晶圓W搬出(搬出製程)。圖1所示之熱處理裝置10的範例中,可藉由用昇降機構46來使例如載置有晶舟44a之蓋體43下降,並從處理容器65內搬出至裝載區40。然後,藉由移載機構47而從搬出後之載置於蓋體43的晶舟44a將晶圓W移往收納容器21,便可將晶圓W從處理容器65搬出。然後,將晶圓W從處理容器65搬出,熱處理作業便結束。
另外,對複數批次連續進行熱處理作業時,係更進一步地,於裝載區40處,藉由移載機構47來將晶圓W從收納容器21搬往晶舟44,並再次回到步驟S11,以進行次一批次之熱處理作業
〔第1實施形態〕
第1實施形態中,由於係量測將實際保持有晶圓之晶舟44搬入處理容器65內並進行步驟S15(冷卻製程)時之各單位區域的溫度,並評估各單位區域之溫度差,因此便針對該評估結果進行說明。
作為實施例1,係在預先使最接近開口部67側之閥97-1的開合度為50%,且預先使其他閥97-2~97-10的開合度為100%之狀態下,作為步驟S15(冷卻製程)之一範例,從800℃冷卻至400℃。又,作為比較例1,則係在預先使全部之閥97-1~97-10的開合度為100%之狀 態下,與實施例1相同地,從800℃冷卻至400℃。另外,實施例1及比較例1中,由壓差計75所量測之空間64之相對於大氣壓的壓差為接近0,即空間64之內壓係與大氣壓大致相等。
圖6及圖7係各自顯示實施例1及比較例1中,各單位區域之溫度與時間的關係曲線。圖6及圖7中,為方便圖示,僅顯示出處理容器內溫度感測器Ai1~Ai10所檢出溫度中的最高檢出溫度與最低檢出溫度。
又,實施例1及比較例1中,將溫度的時間變化率(以下稱作「冷卻速度」)、冷卻開始後12分鐘時的最高檢出溫度與最低檢出溫度的差(以下稱作「介面溫度差」)表示於表1。
如表1所示,實施例1與比較例1之冷卻速度約略相等。又,於實施例1之冷卻開始後12分鐘時的介面溫度差為18.3℃,相較於比較例1之同時間的最大溫度差43.3℃要來得小。因此,依實施例1,可抑制沿縱向之冷卻速度差異的產生。
縱使是如比較例1般冷卻速度產生差異之情況,仍可藉由增加各單位區域處之加熱器63的輸出差,來控制使得各單位區域之冷卻速度呈相等。但是,為此,而必須使得冷卻速度較大之單位區域處之加熱器63的輸出較其他單位區域處之加熱器63的輸出要來得大。如此,便會有整體電力消耗量增加之虞。
另一方面,本實施形態中,係獨立地控制各單位區域處之閥97的開合度,以獨立地控制各單位區域處之經噴出孔92a而供給的冷卻氣體流量。藉此,則縱使不增加各單位區域處之加熱器63的輸出差,仍可控制使得各單位區域之冷卻速度呈相等。
再者,作為比較例2,係在卸下流入抑制組件67a之狀態下,且,由壓差計75所量測之空間64相對於大氣壓的壓差為-11Pa時,與比較例1相同地,從800℃冷卻至400℃。圖8係顯示比較例2中之各單位區域之溫度與時間的關係曲線。圖8中,為方便圖示,僅顯示出處理容器內溫度感測器Ai1~Ai10所檢出溫度中的最高檢出溫度與最低檢出溫度。又,亦將比較例2中之冷卻速度與介面溫度差顯示於表1。
如表1所示,比較例2中,冷卻速度約略相等。又,比較例2之冷卻開始後12分鐘時的介面溫度差為92.3℃,相較於比較例1之同時間的介面溫度差43.3℃要來得大。因此,當空間64之內壓相對於大氣壓的壓差為負壓時,會讓介面溫度差增加。據信此乃因為,例如,接近室溫之外部氣體會從開口部67流入成為負壓的空 間64,因而導致開口部67附近之冷卻速度增大所造成。
〔第2實施形態〕
第2實施形態中,針對設置流入抑制組件67a之效果進行評估,並說明該該評估結果。
圖9及圖10係用以說明流入抑制組件67a的效果之圖式,其係顯示處理容器內溫度感測器Ai1~Ai10所檢出溫度中之最高檢出溫度與最低檢出溫度的差(以下稱作介面溫度差)與時間之關係曲線。
圖9中,係在設置有流入抑制組件67a,且空間64相對於大氣壓之壓差為-216Pa與-333Pa之狀態下,從570℃冷卻至300℃(步驟S15)。
另一方面,圖10中,係在未設置有流入抑制組件67a,且空間64相對於大氣壓之壓差為-161Pa與-210Pa之狀態下,從570℃冷卻至300℃(步驟S15)。
圖9之條件中,於開口部67處,護罩62與處理容器65之間隙係設置有流入抑制組件67a。因此,當空間64之內壓產生變化之情況,於各時間點,介面溫度差之變化仍舊很小。另一方面,圖10之條件中,於開口部67處,護罩62與處理容器65之間隙並未設置有流入抑制組件67a。因此,當空間64之內壓產生變化之情況,於各時間點,介面溫度差之變化很大。
通常,空間64之內壓變化時,空間64之相對於大氣壓之負壓差的絕對值越大,則從開口部67流入空間64之外部氣體便越多,故如圖10所示,介面溫度差便會變 大。但是,於圖9中,藉由設置有流入抑制組件67a,則即便是空間64之內壓相對於大氣壓呈負壓之情況,據信仍可有效地抑制接近室溫之外部氣體從開口部67流入至空間64。
因此,藉由將流入抑制組件67a設置於本發明之可獨立地控制藉由供給部經各供給口所供給之氣體供給流量的熱處理裝置,便可更容易地控制使得各單位區域之冷卻速度達相等。
〔第3實施形態〕
再者,作為本實施形態之熱處理方法,於冷卻製程中,亦可以預設之溫度型式(pattern)來對處理容器內溫度感測器Ai或加熱器溫度感測器Ao的溫度進行控制,且關於其溫度型式之設定方法,設定有可選擇之複數種模式。此處,舉出具有可高精確度地控制晶圓間的溫度均勻性之第1模式以及稍微降低晶圓間之溫度均勻性的精確度但仍可降低電力消耗量之第2模式之範例,來加以說明。
第1模式中,係獨立地控制閥97-1~97-10之各開合度且控制送風機91之風量,並獨立地控制加熱器63-1~63-10的各發熱量。然後,處理容器內溫度感測器Ai1~Ai10或加熱器溫度感測器Ao1~Ao10中任一者之溫度皆以預設之同一溫度型式進行控制。
另一方面,第2模式中,係在停止加熱器63-1~63-10之加熱的狀態下,獨立地控制閥97-1~97-10之各開合 度,且控制送風機91之風量。然後,處理容器內溫度感測器Ai1~Ai10或加熱器溫度感測器Ao1~Ao10中任一者之溫度皆以預設之溫度型式進行控制。
圖11係實施第1模式時,處理容器內溫度感測器Ai1~Ai10所檢出之溫度與時間之關係曲線。又,圖12係達到圖11結果時,送風機91之輸出及加熱器63之輸出與時間之關係曲線。另外,圖11中,顯示將溫度從800℃冷卻至600℃之範例。又,圖12中,為方便圖示,關於加熱器63之輸出,僅顯示代表加熱器63-1~63-10之1個加熱器的輸出值。
又,關於第1模式及第2模式,將冷卻開始後12分鐘時之最高檢出溫度與最低檢出溫度的差(介面溫度差)及冷卻製程中的累計耗電顯示於表2。
如圖12所示,送風機91之輸出係於冷卻製程開始後不久,即溫度於800℃附近時一度達100%後,下降至45 %左右,其後,又隨溫度下降逐漸增加。然後,送風機91之輸出,在冷卻製程結束前不久,即溫度於600℃附近時一度增加輸出後,於冷卻製程結束後再次回到0%。
圖13係實施第2模式時,處理容器內溫度感測器Ai1~Ai10所檢出之溫度與時間之關係曲線。又,圖14係達到圖13結果時,送風機91之輸出及加熱器63之輸出與時間之關係曲線。另外,圖13中,顯示當溫度從800℃冷卻至600℃之範例。
如圖14所示,送風機91之輸出係於冷卻製程開始後不久,即溫度於800℃附近時一度達100%後,下降至20%左右,其後,又隨溫度下降逐漸增加。然後,送風機91之輸出,在冷卻製程結束前不久,即溫度於600℃附近時一度增加輸出後,於冷卻製程結束後再次回到0%。
第2模式中,如圖13所示,例如開口部67附近(即下方側之單位區域),因冷卻速度較大,故介面溫度差亦稍微增加。但是,如圖14所示,由於加熱器63無輸出,因此可降低電力消耗量。
如表2所示,第2模式之介面溫度差為27.4℃,較第1模式之介面溫度差7.5℃稍大。但是,第2模式之冷卻製程中的電力消耗量為1.63kWh,可較第1模式之冷卻製程中的電力消耗量3.64kWh更為降低。
又,亦可設置有作為第1模式與第2模式之中間模式的第3模式。作為第3模式,例如可將第1模式中之加 熱器63的輸出乘以特定比率。藉此,便可使晶圓間之溫度均勻性不會降低太多,且可使電力消耗量較第1模式更低。
以上,雖詳述有關本發明之較佳實施形態,但本發明不限於該特定實施形態,於專利申請範圍內所記載之本發明主旨範圍內,亦可有各種變形‧變更可能。
另外,實施形態中,雖說明有關加熱器、噴出孔及溫度感測器係沿一方向延伸,且係分別複數地設置於進行基板熱處理之熱處理裝置所具備的處理容器內之範例。但,加熱器與噴出孔與溫度感測器亦可分別複數地設置於沿一方向延伸來控制容器的溫度之溫度控制系統。然後,溫度控制系統中,亦可於冷卻容器時,根據溫度感測器所檢出的檢測值,來執行獨立地控制經噴出孔所供給之冷卻氣體的流量之溫度控制方法,以使沿一方向上之容器冷卻速度相等。
依本發明,在針對沿某方向延伸之容器進行冷卻時,可在不增加電力消耗量之情況下,抑制沿該延伸方向之容器冷卻速度產生差異。
本專利申請係根據向日本特許廳於2011年9月13日所提出之日本專利申請編號第2011-199621號為基礎,主張其優先權利益,本說明書係參考並包含該揭露內容整體。
10‧‧‧熱處理裝置
20‧‧‧載置台
21、22‧‧‧收納容器
23‧‧‧整列裝置
30‧‧‧框體
40‧‧‧裝載區
41‧‧‧門體機構
42‧‧‧擋門機構
43‧‧‧蓋體
44、44a、44b‧‧‧晶舟
45a、45b‧‧‧基台
45c‧‧‧晶舟搬送機構
46‧‧‧昇降機構
47‧‧‧移載機構
48‧‧‧保溫筒
49‧‧‧旋轉機構
50‧‧‧頂板
51‧‧‧底板
52‧‧‧支柱
53‧‧‧爪部
54‧‧‧輔助柱
57‧‧‧基台
58‧‧‧昇降臂
59‧‧‧前叉
60‧‧‧熱處理爐
62‧‧‧護罩
63、63-1~63-10‧‧‧加熱器
64‧‧‧空間
65‧‧‧處理容器
66‧‧‧底板
67‧‧‧開口部
67a‧‧‧流入抑制組件
68‧‧‧分歧管
68a‧‧‧爐口
71‧‧‧噴射器
72‧‧‧氣體供給源
73‧‧‧排氣埠
74‧‧‧排氣系統
75‧‧‧壓差計
81、82、83‧‧‧線路
86‧‧‧加熱器輸出部
87‧‧‧加熱器輸出線路
88‧‧‧加熱器端子
90‧‧‧冷卻機構
91‧‧‧送風機
91a‧‧‧電力供給部
91b‧‧‧送風機輸出線路
92、92-1~92-10‧‧‧送風管
92a-1~92a-10‧‧‧噴出孔
93‧‧‧分歧部
94‧‧‧排氣管
94a‧‧‧排氣口
95‧‧‧熱交換器
97、97-1~97-10‧‧‧閥
98‧‧‧閥控制部
99‧‧‧閥輸出線路
100‧‧‧控制部
Ai1~Ai10‧‧‧處理容器內溫度感測器
Ao1~Ao10‧‧‧加熱器溫度感測器
Ap1~Ap10‧‧‧可動式溫度感測器
W‧‧‧晶圓
被併入且構成本說明書的一部分之添附圖式,係繪示本發明之實施例,並連同上述提供之一般敘述以及上述提供之實施例的詳細敘述,用以解釋本發明之原理。
圖1係實施形態之熱處理裝置的概略縱剖面圖。
圖2係裝載區之概略立體圖。
圖3係晶舟一範例的概略立體圖。
圖4係熱處理爐之結構的概略剖面圖。
圖5用以說明使用實施形態之熱處理裝置的熱處理方法中之各製程順序之流程圖。
圖6係實施例1中,各單位區域之溫度與時間之關係曲線。
圖7係比較例1中,各單位區域之溫度與時間之關係曲線。
圖8係比較例2中,各單位區域之溫度與時間之關係曲線。
圖9係設置有流入抑制組件之情況,處理容器內溫度感測器所檢出溫度中,最高檢出溫度與最低檢出溫度之差與時間之關係曲線的一範例。
圖10係未設置有流入抑制組件之情況,處理容器內溫度感測器所檢出溫度中,最高檢出溫度與最低檢出溫度之差與時間之關係曲線的一範例。
圖11係執行第1模式時,處理容器內溫度感測器所檢出之溫度與時間之關係曲線。
圖12係執行第1模式時,送風機輸出及加熱器輸出與 時間之關係曲線。
圖13係執行第2模式時,處理容器內溫度感測器所檢出之溫度與時間之關係曲線。
圖14係執行第2模式時,送風機輸出及加熱器輸出與時間之關係曲線。
43‧‧‧蓋體
46‧‧‧昇降機構
48‧‧‧保溫筒
49‧‧‧旋轉機構
60‧‧‧暫存室
62‧‧‧護罩
63、63-1~63-10‧‧‧加熱器
64‧‧‧空間
65‧‧‧處理容器
66‧‧‧底板
67‧‧‧開口部
67a‧‧‧流入抑制組件
68‧‧‧分歧管
68a‧‧‧爐口
71‧‧‧噴射器
72‧‧‧氣體供給源
73‧‧‧排氣埠
74‧‧‧排氣系統
75‧‧‧壓差計
81、82、83‧‧‧線路
86‧‧‧加熱器輸出部
87‧‧‧加熱器輸出線路
88‧‧‧加熱器端子
90‧‧‧冷卻機構
91‧‧‧送風機
91a‧‧‧電力供給部
91b‧‧‧送風機輸出線路
92、92-1~92-10‧‧‧送風管
92a-1~92a-10‧‧‧噴出孔
93‧‧‧分歧部
94‧‧‧排氣管
94a‧‧‧排氣口
95‧‧‧熱交換器
97、97-1~97-10‧‧‧閥
98‧‧‧閥控制部
99‧‧‧閥輸出線路
100‧‧‧控制部
Ai1~Ai10‧‧‧處理容器內溫度感測器
Ao1~Ao10‧‧‧加熱器溫度感測器
Ap1~Ap10‧‧‧可動式溫度感測器

Claims (19)

  1. 一種熱處理裝置,係對基板進行熱處理,其具有:處理容器;基板保持部,係於該處理容器內,沿一方向以特定間隔保持複數個基板;加熱部,係對該處理容器進行加熱;以及冷卻部,係包含供給氣體之供給部與沿該一方向設置於各相異位置之複數個供給口,由該供給部經該供給口各自將氣體供給至該處理容器以冷卻該處理容器;其中該冷卻部係設置為能獨立地控制藉由該供給部經該供給口所各自供給之氣體的供給流量。
  2. 如申請專利範圍第1項之熱處理裝置,其中該冷卻部係設置為於冷卻該處理容器時,能獨立地控制該供給流量,以使沿該一方向之該處理容器的冷卻速度相等。
  3. 如申請專利範圍第2項之熱處理裝置,其具有:檢測部,係包含沿該一方向設置於各相異位置的複數個檢測元件,以檢測該處理容器內沿該一方向之溫度分佈;以及控制部,係於冷卻該處理容器時,根據該檢測部所檢出之檢測值來獨立地控制該供給流量,以使沿該一方向之該處理容器的冷卻速度相等
  4. 如申請專利範圍第3項之熱處理裝置,其中該加熱 部係包含有沿該一方向設置於各相異位置之複數個發熱元件;該控制部係於冷卻該處理容器時,根據該檢測值來獨立地控制該發熱元件之各發熱量並獨立地控制該供給流量,以使沿該一方向之該處理容器的冷卻速度相等。
  5. 如申請專利範圍第3項之熱處理裝置,其中該供給部係吹送氣體的送風機;該冷卻部係包含有複數個流量調整閥,該複數個流量調整閥係各自設置於用以供從該送風機被供應至各個該供給口的氣體流通之各流道;該控制部係於冷卻該處理容器時,根據該檢測值來控制該送風機所吹送之氣體的風量並獨立地控制該流量調整閥之各開合度,藉以獨立地控制該供給流量,以使沿該一方向之該處理容器的冷卻速度相等
  6. 如申請專利範圍第1項之熱處理裝置,其係具有覆蓋組件,該覆蓋組件係覆蓋該處理容器周圍般設置,且於該處理容器周圍劃分形成可經由排氣口對內部進行排氣的空間;該冷卻部係經該各供給口來將氣體供給至經該排氣口排氣的該空間內部,以冷卻該處理容器;該覆蓋組件係形成有開口部,且經該開口部來使該處理容器插入該覆蓋組件內部; 該開口部處之該覆蓋組件與該處理容器的間隙係設置有抑制外部氣體經該間隙流入該覆蓋組件內用的流入抑制組件。
  7. 一種溫度控制系統,係控制沿一方向延伸之容器的溫度,其具有:加熱部,係對該容器進行加熱;冷卻部,係包含供給氣體之供給部與沿該一方向設置於各相異位置之複數個供給口,由該供給部經該供給口各自將氣體供給至該容器以冷卻該容器;檢測部,係包含沿該一方向設置於各相異位置的複數個檢測元件,以檢測該容器內沿該一方向之溫度分佈;以及控制部,係於冷卻該容器時,根據該檢測部所檢出之檢測值來獨立地控制該供給部經各個該供給口所供給之氣體的供給流量,以使沿該一方向之該容器的冷卻速度相等。
  8. 如申請專利範圍第7項之溫度控制系統,其中該加熱部係包含有沿該一方向設置於各相異位置之複數個發熱元件;該控制部係於冷卻該容器時,根據該檢測值來獨立地控制該發熱元件之各發熱量並獨立地控制該供給流量,以使沿該一方向之該容器的冷卻速度相等。
  9. 如申請專利範圍第7項之溫度控制系統,其中該供 給部係吹送氣體的送風機;該冷卻部係包含有複數個流量調整閥,該複數個流量調整閥係各自設置於用以供從該送風機被供給至各個該供給口的氣體流通之各流道;該控制部係於冷卻該容器時,根據該檢測值來控制該送風機所吹送之氣體的風量並獨立地控制該流量調整閥之各開合度,藉以獨立地控制該供給流量,以使沿該一方向之該處理容器的冷卻速度相等。
  10. 一種熱處理方法,係對基板進行熱處理,其具有:熱處理製程,其係在於處理容器內,藉由基板保持部沿一方向以特定間隔保持有複數個基板之狀態下,藉由加熱部來對該處理容器加熱,藉以針對該基板保持部所保持之基板進行熱處理;以及冷卻製程,係於該熱處理製程後,藉由供給部經沿該一方向設置於各相異位置之複數個供給口各自將氣體供給至該處理容器,以對該處理容器進行冷卻;其中,該冷卻製程係獨立地控制藉由該供給部經該供給口所各自供給之氣體的供給流量,以使沿該一方向之該處理容器的冷卻速度相等。
  11. 如申請專利範圍第10項之熱處理方法,其中該冷卻製程係根據檢測部所檢出之檢測值,來獨立地控制該供給流量,以使沿該一方向之該處理容器的冷 卻速度相等,其中該檢測部係包含有沿該一方向設置於各相異位置之複數個檢測元件,而用以檢測該處理容器內之該一方向的溫度分佈。
  12. 如申請專利範圍第11項之熱處理方法,其中該加熱部係包含有沿該一方向設置於各相異位置之複數個發熱元件;該冷卻製程係根據該檢測值來獨立地控制該發熱元件之各發熱量並獨立地控制該供給流量,以使沿該一方向之該處理容器的冷卻速度相等。
  13. 如申請專利範圍第11項之熱處理方法,其中該供給部係吹送氣體的送風機;該冷卻製程係根據該檢測值來控制該送風機所吹送之氣體的風量,並獨立地控制各流通流道所設置之複數個流量調整閥的各開合度,藉以獨立地控制該供給流量,以使沿該一方向之該處理容器的冷卻速度相等,其中該各流通流道係用以供從該送風機被供給至各個該供給口的氣體流通。
  14. 一種溫度控制方法,係控制沿一方向延伸之容器的溫度,其包含:冷卻製程,係於藉由加熱部來將該容器加熱後,藉由供給部經沿該一方向設置於各相異位置之各個複數個供給口來將氣體供給至該容器,以冷卻該容器;其中,該冷卻製程係獨立地控制藉由該供給部經該 供給口所各自供給之氣體的供給流量,以使沿該一方向之該容器的冷卻速度相等。
  15. 如申請專利範圍第14項之溫度控制方法,其中該冷卻製程,係根據檢測部所檢出之檢測值,來獨立地控制該供給流量,以使沿該一方向之該容器的冷卻速度相等,其中該檢測部係包含有沿該一方向設置於各相異位置之複數個檢測元件,而用以檢測該容器內之該一方向的溫度分佈。
  16. 如申請專利範圍第15項之溫度控制方法,其中該加熱部係包含有沿該一方向設置於各相異位置之複數個發熱元件;該冷卻製程係根據該檢測值來獨立地控制該發熱元件之各發熱量並獨立地控制該供給流量,以使沿該一方向之該容器的冷卻速度相等。
  17. 如申請專利範圍第15項之溫度控制方法,其中該供給部係吹送氣體的送風機;該冷卻製程係根據該檢測值來控制該送風機所吹送之氣體的風量,並獨立地控制各流道所設置之複數個流量調整閥的各開合度,藉以獨立地控制該供給流量,以使沿該一方向之該處理容器的冷卻速度相等,其中該各流道係用以供從該送風機被供給至各個該供給口的氣體流通。
  18. 一種電腦可讀式記錄媒體,係紀錄有讓電腦執行如申請專利範圍第10項之方法用的程式。
  19. 一種電腦可讀式記錄媒體,係紀錄有讓電腦執行如申請專利範圍第14項之溫度控制方法用的程式。
TW101133237A 2011-09-13 2012-09-12 熱處理裝置、溫度控制系統、熱處理方法、溫度控制方法以及記錄有用以執行該熱處理方法或該溫度控制方法的程式之記錄媒體 TW201342473A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011199621A JP2013062361A (ja) 2011-09-13 2011-09-13 熱処理装置、温度制御システム、熱処理方法、温度制御方法及びその熱処理方法又はその温度制御方法を実行させるためのプログラムを記録した記録媒体

Publications (1)

Publication Number Publication Date
TW201342473A true TW201342473A (zh) 2013-10-16

Family

ID=47830144

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101133237A TW201342473A (zh) 2011-09-13 2012-09-12 熱處理裝置、溫度控制系統、熱處理方法、溫度控制方法以及記錄有用以執行該熱處理方法或該溫度控制方法的程式之記錄媒體

Country Status (5)

Country Link
US (1) US20130065189A1 (zh)
JP (1) JP2013062361A (zh)
KR (1) KR20130029009A (zh)
CN (1) CN103000555A (zh)
TW (1) TW201342473A (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI644342B (zh) * 2015-03-26 2018-12-11 日商思可林集團股份有限公司 熱處理裝置及熱處理方法
TWI667710B (zh) * 2017-07-27 2019-08-01 日商斯庫林集團股份有限公司 熱處理裝置之排氣方法
TWI739080B (zh) * 2018-03-29 2021-09-11 荷蘭商Asm智慧財產控股私人有限公司 晶舟冷卻裝置
TWI781096B (zh) * 2016-04-28 2022-10-21 日商捷太格特熱處理股份有限公司 熱處理裝置

Families Citing this family (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5394360B2 (ja) * 2010-03-10 2014-01-22 東京エレクトロン株式会社 縦型熱処理装置およびその冷却方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104941957B (zh) * 2014-03-24 2018-01-12 睿励科学仪器(上海)有限公司 晶圆清洁装置及方法
CN104952759B (zh) * 2014-03-24 2019-03-12 睿励科学仪器(上海)有限公司 晶圆清洁箱内微环境控制装置及控制方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR20160017699A (ko) * 2014-07-31 2016-02-17 세메스 주식회사 베이크 유닛, 이를 포함하는 기판 처리 장치 및 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
CN106222753B (zh) * 2016-08-22 2018-07-06 中国科学技术大学 一种微型快速升降温退火炉
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
JP6789040B2 (ja) * 2016-08-30 2020-11-25 東京応化工業株式会社 基板加熱装置及び基板加熱方法
JP6686800B2 (ja) * 2016-08-31 2020-04-22 ウシオ電機株式会社 光学測定器
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) * 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6964737B2 (ja) * 2017-01-12 2021-11-10 東京エレクトロン株式会社 熱処理装置及び温度制御方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) * 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11043402B2 (en) 2017-09-12 2021-06-22 Kokusai Electric Corporation Cooling unit, heat insulating structure, and substrate processing apparatus
JP6752851B2 (ja) * 2017-09-12 2020-09-09 株式会社Kokusai Electric クーリングユニット、基板処理装置、および半導体装置の製造方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
CN110106334B (zh) 2018-02-01 2021-06-22 福建省长汀金龙稀土有限公司 一种连续进行晶界扩散和热处理的装置以及方法
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
WO2019148918A1 (zh) * 2018-02-01 2019-08-08 福建省长汀金龙稀土有限公司 一种连续进行晶界扩散和热处理的装置以及方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP7090468B2 (ja) * 2018-05-15 2022-06-24 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
CN110527989A (zh) * 2018-06-08 2019-12-03 北京北方华创微电子装备有限公司 用于热处理设备的冷却装置及热处理设备
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7055075B2 (ja) * 2018-07-20 2022-04-15 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
CN110854010B (zh) * 2018-08-20 2022-07-22 北京北方华创微电子装备有限公司 冷却晶圆的方法、装置和半导体处理设备
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11705358B2 (en) * 2018-10-29 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for automated processing ports
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
JP7101599B2 (ja) * 2018-11-27 2022-07-15 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7093318B2 (ja) * 2019-02-18 2022-06-29 台湾大福高科技設備股▲分▼有限公司 物品保管設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
JP7101718B2 (ja) * 2019-06-12 2022-07-15 株式会社Kokusai Electric 加熱部、温度制御システム、処理装置および半導体装置の製造方法
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20230053689A (ko) * 2020-09-30 2023-04-21 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 온도 제어 프로그램, 반도체 장치의 제조 방법 및 온도 제어 방법
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022152426A (ja) * 2021-03-29 2022-10-12 東京エレクトロン株式会社 熱処理装置及び熱処理方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960012876B1 (ko) * 1988-06-16 1996-09-25 도오교오 에레구토론 사가미 가부시끼가이샤 열처리 장치
JP2931641B2 (ja) * 1990-07-06 1999-08-09 東京エレクトロン株式会社 熱処理装置
JP3177722B2 (ja) * 1993-06-15 2001-06-18 東京エレクトロン株式会社 高速熱処理炉の温度制御装置
JPH07263369A (ja) * 1994-03-24 1995-10-13 Koyo Rindobaagu Kk 熱処理装置
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
JP4365017B2 (ja) * 2000-08-23 2009-11-18 東京エレクトロン株式会社 熱処理装置の降温レート制御方法および熱処理装置
JP4493192B2 (ja) * 2000-09-13 2010-06-30 東京エレクトロン株式会社 バッチ式熱処理装置及びその制御方法
JP5117856B2 (ja) * 2005-08-05 2013-01-16 株式会社日立国際電気 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
JP5029382B2 (ja) * 2008-01-22 2012-09-19 東京エレクトロン株式会社 処理装置及び処理方法
JP5504793B2 (ja) * 2009-09-26 2014-05-28 東京エレクトロン株式会社 熱処理装置及び冷却方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI644342B (zh) * 2015-03-26 2018-12-11 日商思可林集團股份有限公司 熱處理裝置及熱處理方法
US10629463B2 (en) 2015-03-26 2020-04-21 SCREEN Holdings Co., Ltd. Thermal processing apparatus and thermal processing method
TWI781096B (zh) * 2016-04-28 2022-10-21 日商捷太格特熱處理股份有限公司 熱處理裝置
TWI667710B (zh) * 2017-07-27 2019-08-01 日商斯庫林集團股份有限公司 熱處理裝置之排氣方法
US10446415B2 (en) 2017-07-27 2019-10-15 SCREEN Holdings Co., Ltd. Exhaust method of heat treatment apparatus
TWI739080B (zh) * 2018-03-29 2021-09-11 荷蘭商Asm智慧財產控股私人有限公司 晶舟冷卻裝置

Also Published As

Publication number Publication date
JP2013062361A (ja) 2013-04-04
CN103000555A (zh) 2013-03-27
US20130065189A1 (en) 2013-03-14
KR20130029009A (ko) 2013-03-21

Similar Documents

Publication Publication Date Title
TW201342473A (zh) 熱處理裝置、溫度控制系統、熱處理方法、溫度控制方法以及記錄有用以執行該熱處理方法或該溫度控制方法的程式之記錄媒體
JP3497450B2 (ja) バッチ式熱処理装置及びその制御方法
KR100887445B1 (ko) 기판 처리 방법 및 기판 처리 장치
US7479619B2 (en) Thermal processing unit
KR102287466B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US9209057B2 (en) Temperature control method, storage medium storing a program therefor, temperature control apparatus, and heat treatment apparatus
US20070148606A1 (en) Vertical heat treatment device and method controlling the same
JP5334261B2 (ja) 基板処理装置、基板処理装置における表示方法及び半導体装置の製造方法
US8734148B2 (en) Heat treatment apparatus and method of manufacturing semiconductor device
KR20110112074A (ko) 기판 처리 장치 및 방법
US11967512B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
WO2011021635A1 (ja) 基板処理システム、群管理装置及び基板処理システムにおける表示方法
JP5087283B2 (ja) 温度制御システム、基板処理装置、及び半導体装置の製造方法
JP4880408B2 (ja) 基板処理装置、基板処理方法、半導体装置の製造方法、メインコントローラおよびプログラム
JP2023032160A (ja) 加熱処理装置
CN108335998B (zh) 基板处理装置和基板的冷却方法
JP6992156B2 (ja) 処理装置、排気システム、半導体装置の製造方法
US20220119951A1 (en) Substrate processing apparatus, method of processing substrate, method of manufacturing semiconductor device and recording medium
TWI823438B (zh) 有機膜形成裝置及有機膜的製造方法
JP2005136370A (ja) 基板処理装置
JP4509360B2 (ja) 熱処理方法
JP4456727B2 (ja) 半導体装置の製造方法および基板処理装置
JP2005123308A (ja) 基板処理装置
JP2002319579A (ja) 被処理体の熱処理方法及びバッチ式熱処理装置
JP2002299269A (ja) 熱処理装置、および熱処理方法