KR20130029009A - 열처리 장치, 온도 제어 시스템, 열처리 방법, 온도 제어 방법 및 그 열처리 방법 또는 그 온도 제어 방법을 실행시키기 위한 프로그램을 기록한 기록 매체 - Google Patents

열처리 장치, 온도 제어 시스템, 열처리 방법, 온도 제어 방법 및 그 열처리 방법 또는 그 온도 제어 방법을 실행시키기 위한 프로그램을 기록한 기록 매체 Download PDF

Info

Publication number
KR20130029009A
KR20130029009A KR1020120094300A KR20120094300A KR20130029009A KR 20130029009 A KR20130029009 A KR 20130029009A KR 1020120094300 A KR1020120094300 A KR 1020120094300A KR 20120094300 A KR20120094300 A KR 20120094300A KR 20130029009 A KR20130029009 A KR 20130029009A
Authority
KR
South Korea
Prior art keywords
cooling
processing container
supply
along
container
Prior art date
Application number
KR1020120094300A
Other languages
English (en)
Inventor
코지 요시이
타츠야 야마구치
웬링 왕
타카노리 사이토
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20130029009A publication Critical patent/KR20130029009A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)

Abstract

(과제) 어떠한 방향을 따라서 연재(延在)되는 용기를 냉각할 때에, 전력 소비량을 증가시키는 일 없이, 연재되는 방향을 따라서 용기의 냉각 속도에 차이가 발생하는 것을 억제할 수 있는 열처리 장치를 제공한다.
(해결 수단) 처리 용기(65)와, 처리 용기(65) 내에서, 하나의 방향을 따라서 기판을 소정의 간격으로 복수 보유지지(保持) 가능한 기판 보유지지부(44)와, 처리 용기(65)를 가열하는 가열부(63)와, 기체를 공급하는 공급부(91)와, 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 공급구(92a)를 포함하고, 공급부(91)가 공급구(92a)의 각각을 통하여 처리 용기(65)에 기체를 공급함으로써 처리 용기(65)를 냉각하는 냉각부(90)를 갖는다. 냉각부(90)는, 공급구(92a)의 각각을 통하여 기체를 공급하는 공급 유량이 독립적으로 제어 가능하게 설치된 것이다.

Description

열처리 장치, 온도 제어 시스템, 열처리 방법, 온도 제어 방법 및 그 열처리 방법 또는 그 온도 제어 방법을 실행시키기 위한 프로그램을 기록한 기록 매체{THERMAL TREATMENT APPARATUS, TEMPERATURE CONTROL SYSTEM, THERMAL TREATMENT METHOD, TEMPERATURE CONTROL METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM EMBODIED WITH PROGRAM FOR EXECUTING THE THERMAL TREATMENT METHOD OR THE TEMPERATURE CONTROL METHOD}
본 발명은, 열처리 장치, 온도 제어 시스템, 열처리 방법, 온도 제어 방법 및 그 열처리 방법 또는 그 온도 제어 방법을 실행시키기 위한 프로그램을 기록한 기록 매체에 관한 것이다.
반도체 장치의 제조에 있어서는, 예를 들면 반도체 웨이퍼 등의 기판에, 산화, 확산, CVD(Chemical Vapor Deposition) 등의 처리를 행하기 위해, 각종의 처리 장치가 이용되고 있다. 그리고, 그의 하나로서, 한 번에 다수매의 피(被)처리 기판의 열처리가 가능한 종형(vertical)의 열처리 장치가 알려져 있다.
열처리 장치에는, 처리 용기와, 보트와, 승강 기구와, 이재(移載) 기구를 구비하고 있는 것이 있다. 보트는, 복수의 기판을 상하 방향으로 소정의 간격으로 보유지지(保持; holding)하여 처리 용기에 반입 반출되는 기판 보유지지부이다. 승강 기구는, 처리 용기의 하방에 형성된 로딩 에어리어에 설치되어 있고, 처리 용기의 개구를 폐색하는 덮개체의 상부에 보트를 올려놓은 상태에서 덮개체를 상승 하강시켜 처리 용기와 로딩 에어리어와의 사이에서 보트를 승강시킨다. 이재 기구는, 로딩 에어리어로 반출된 보트와 복수매의 기판을 수용하는 수납 용기와의 사이에서 기판의 이재를 행한다.
또한, 열처리 장치로서, 처리 용기 내에서 보트에 보유지지되어 있는 기판을 가열하는 히터와, 처리 용기를 주위로부터 덮는 재킷을 구비하고 있는 것이 있다. 재킷의 내측으로서 처리 용기의 주위에는, 히터가 설치되어 있음과 함께, 처리 용기를 냉각하는 냉각 가스가 흐르기 위한 공간이 획성(劃成)되어 있다. 그리고, 예를 들면 히터에 의해 처리 용기 내에서 보트에 보유지지되어 있는 기판을 가열하여 열처리한 후, 기판을 냉각할 때에, 냉각 가스를 공간에 공급함으로써, 기판의 냉각 속도를 제어하도록 되어 있다(예를 들면, 특허문헌 1 참조).
일본공개특허공보 2009-81415호
그러나, 이러한 열처리 장치에서는, 기판을 열처리한 후, 기판을 냉각할 때에, 상하 방향을 따라서 냉각 속도에 차이가 발생하는 경우가 있다.
예를 들면 특허문헌 1에 나타내는 예에서는, 냉각 가스는, 재킷의 하단부에 설치된 공급구로부터 처리 용기와 재킷과의 사이의 공간에 공급되고, 공간을 하방으로부터 상방을 향해 흘러, 재킷의 상단부에 설치된 배출구로부터 배출된다. 그 때문에, 상하 방향을 따라서 처리 용기의 냉각 속도에 차이가 발생하고, 상하 방향을 따라서 소정의 간격으로 보트에 보유지지된 기판의 사이에서, 열처리의 이력에 차이가 발생하여, 처리 후의 기판의 품질에 차이가 발생할 우려가 있다.
냉각 속도에 차이가 발생하는 경우, 상하 방향을 따라서 서로 상이한 위치에 히터 소자를 복수 설치하여, 처리 용기의 냉각 속도가 상하 방향을 따라서 동일해지도록, 그들 히터 소자의 발열량을 독립적으로 제어하는 방법도 생각할 수 있다. 그러나, 냉각 속도가, 다른 부분의 냉각 속도보다도 큰 부분에 설치된 히터 소자의 발열량이, 다른 부분에 설치된 히터의 발열량보다도 커지도록 제어하기 때문에, 냉각 공정에 있어서의 전력 소비량이 증가한다는 문제가 있다.
또한, 상기한 과제는, 기판을 상하 방향을 따라서 보유지지하는 경우에 한정되지 않고, 임의의 방향을 따라서 소정의 간격으로 보유지지하는 경우에도 공통되는 과제이다. 또한, 상기한 과제는, 기판을 열처리하는 열처리 용기를 냉각하는 경우에 한정되지 않고, 어떠한 방향을 따라서 연재(延在)되는 용기를 냉각하는 경우에도 공통되는 과제이다.
본 발명은 상기의 점을 감안하여 이루어진 것이며, 어떠한 방향을 따라서 연재되는 용기를 냉각할 때에, 전력 소비량을 증가시키는 일 없이, 연재되는 방향을 따라서 용기의 냉각 속도에 차이가 발생하는 것을 억제할 수 있는 열처리 장치, 온도 제어 시스템, 열처리 방법 및 온도 제어 방법을 제공한다.
상기의 과제를 해결하기 위해 본 발명에서는, 다음에 서술하는 각 수단을 강구한 것을 특징으로 하는 것이다.
본 발명의 일 실시예에 의하면, 기판을 열처리하는 열처리 장치에 있어서, 처리 용기와, 상기 처리 용기 내에서, 하나의 방향을 따라서 기판을 소정의 간격으로 복수 보유지지 가능한 기판 보유지지부와, 상기 처리 용기를 가열하는 가열부와, 기체를 공급하는 공급부와, 상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 공급구를 포함하고, 상기 공급부가 상기 공급구의 각각을 통하여 상기 처리 용기에 기체를 공급함으로써 상기 처리 용기를 냉각하는 냉각부를 가지며, 상기 냉각부는, 상기 공급부가 상기 공급구의 각각을 통하여 기체를 공급하는 공급 유량이 독립적으로 제어 가능하게 설치된 것인 열처리 장치가 제공된다.
또한, 본 발명의 다른 일 실시예에 의하면, 하나의 방향을 따라서 연재되는 용기의 온도를 제어하는 온도 제어 시스템에 있어서, 상기 용기를 가열하는 가열부와, 기체를 공급하는 공급부와, 상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 공급구를 포함하고, 상기 공급부가 상기 공급구의 각각을 통하여 상기 용기에 기체를 공급함으로써 상기 용기를 냉각하는 냉각부와, 상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 검출 소자를 포함하고, 상기 용기 내의 상기 하나의 방향을 따른 온도 분포를 검출하기 위한 검출부와, 상기 용기를 냉각할 때에, 상기 검출부가 검출한 검출값에 기초하여, 상기 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 공급부가 상기 공급구의 각각을 통하여 기체를 공급하는 공급 유량을 독립적으로 제어하는 제어부를 갖는 온도 제어 시스템이 제공된다.
또한, 본 발명의 다른 일 실시예에 의하면, 기판을 열처리하는 열처리 방법에 있어서, 처리 용기 내에서, 기판 보유지지부에 의해, 하나의 방향을 따라서 기판을 소정의 간격으로 복수 보유지지한 상태에서, 가열부에 의해 상기 처리 용기를 가열함으로써, 상기 기판 보유지지부에 보유지지되어 있는 기판을 열처리하는 열처리 공정과, 상기 열처리 공정 후, 공급부에 의해, 상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 공급구의 각각을 통하여 상기 처리 용기에 기체를 공급함으로써, 상기 처리 용기를 냉각하는 냉각 공정을 갖고, 상기 냉각 공정은, 상기 처리 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 공급부가 상기 공급구의 각각을 통하여 기체를 공급하는 공급 유량을 독립적으로 제어하는 것인 열처리 방법이 제공된다.
또한, 본 발명의 다른 일 실시예에 의하면, 하나의 방향을 따라서 연재되는 용기의 온도를 제어하는 온도 제어 방법에 있어서, 가열부에 의해 상기 용기를 가열한 후, 공급부에 의해, 상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 공급구의 각각을 통하여 상기 용기에 기체를 공급함으로써, 상기 용기를 냉각하는 냉각 공정을 갖고, 상기 냉각 공정은, 상기 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 공급부가 상기 공급구의 각각을 통하여 기체를 공급하는 공급 유량을 독립적으로 제어하는 것인 온도 제어 방법이 제공된다.
본 발명에 의하면, 어떠한 방향을 따라서 연재되는 용기를 냉각할 때에, 전력 소비량을 증가시키는 일 없이, 연재되는 방향을 따라서 용기의 냉각 속도에 차이가 발생하는 것을 억제할 수 있다.
도 1은 실시 형태에 따른 열처리 장치를 개략적으로 나타내는 종단면도이다.
도 2는 로딩 에어리어를 개략적으로 나타내는 사시도이다.
도 3은 보트의 일 예를 개략적으로 나타내는 사시도이다.
도 4는 열처리로(爐)의 구성의 개략을 나타내는 단면도이다.
도 5는 실시 형태에 따른 열처리 장치를 이용한 열처리 방법에 있어서의 각 공정의 순서를 설명하기 위한 플로우 차트이다.
도 6은 실시예 1에 있어서의, 각 단위 영역에서의 온도와 시간과의 관계를 나타내는 그래프이다.
도 7은 비교예 1에 있어서의, 각 단위 영역에서의 온도와 시간과의 관계를 나타내는 그래프이다.
도 8은 비교예 2에 있어서의, 각 단위 영역에서의 온도와 시간과의 관계를 나타내는 그래프이다.
도 9는 유입 억제 부재를 설치한 경우에 있어서의, 처리 용기 내 온도 센서가 검출한 온도 중, 가장 높은 검출 온도와, 가장 낮은 검출 온도의 차이와 시간과의 관계를 나타내는 그래프의 일 예이다.
도 10은 유입 억제 부재를 설치하지 않는 경우에 있어서의, 처리 용기 내 온도 센서가 검출한 온도 중, 가장 높은 검출 온도와, 가장 낮은 검출 온도의 차이와 시간과의 관계를 나타내는 그래프의 일 예이다.
도 11은 제1 모드를 행했을 때의, 처리 용기 내 온도 센서가 검출한 온도와 시간과의 관계를 나타내는 그래프이다.
도 12는 제1 모드를 행했을 때의, 송풍기의 출력 및 히터의 출력과 시간과의 관계를 나타내는 그래프이다.
도 13은 제2 모드를 행했을 때의, 처리 용기 내 온도 센서가 검출한 온도와 시간과의 관계를 나타내는 그래프이다.
도 14는 제2 모드를 행했을 때의, 송풍기의 출력 및 히터의 출력과 시간과의 관계를 나타내는 그래프이다.
(발명을 실시하기 위한 형태)
다음으로, 본 발명을 실시하기 위한 형태에 대해서 도면과 함께 설명한다.
최초로, 본 발명의 실시 형태에 따른 열처리 장치에 대해서 설명한다. 열처리 장치(10)는, 후술하는 종형의 열처리로(60)를 구비하고 있어, 웨이퍼(W)를 보트에 종방향을 따라서 소정의 간격으로 보유지지, 한 번에 다수매 수용하고, 수용한 웨이퍼(W)에 대하여 산화, 확산, 감압 CVD 등의 각종의 열처리를 행할 수 있다. 이하에서는, 예를 들면 수증기로 이루어지는 처리 가스를, 후술하는 처리 용기(65) 내에 설치되어 있는 기판에 공급함으로써, 기판의 표면을 산화 처리하는 열처리 장치에 적용한 예에 대해서 설명한다.
도 1은, 본 실시 형태에 따른 열처리 장치(10)를 개략적으로 나타내는 종단면도이다. 도 2는, 로딩 에어리어(40)를 개략적으로 나타내는 사시도이다. 도 3은, 보트(44)의 일 예를 개략적으로 나타내는 사시도이다.
열처리 장치(10)는, 재치대(로드 포트)(20), 케이스체(30) 및, 제어부(100)를 갖는다.
재치대(로드 포트)(20)는, 케이스체(30)의 전부(前部)에 설치되어 있다. 케이스체(30)는, 로딩 에어리어(작업 영역)(40) 및 열처리로(60)를 갖는다. 로딩 에어리어(40)는, 케이스체(30) 내의 하방에 설치되어 있고, 열처리로(60)는, 케이스체(30) 내로서 로딩 에어리어(40)의 상방에 설치되어 있다. 또한, 로딩 에어리어(40)와 열처리로(60)와의 사이에는, 베이스 플레이트(31)가 설치되어 있다.
재치대(로드 포트)(20)는, 케이스체(30) 내로의 웨이퍼(W)의 반입 반출을 행하기 위한 것이다. 재치대(로드 포트)(20)에는, 수납 용기(21, 22)가 올려놓여져 있다. 수납 용기(21, 22)는, 전면(前面)에 도시하지 않는 덮개를 착탈 가능하게 구비한, 복수매, 예를 들면 50매 정도의 웨이퍼(W)를 소정의 간격으로 수납 가능한 밀폐형 수납 용기(후프)이다.
또한, 재치대(20)의 하방에는, 후술하는 이재 기구(47)에 의해 이재된 웨이퍼(W)의 외주(外周)에 설치된 절결부(예를 들면 놋치)를 한 방향으로 일치시키기 위한 정렬 장치(얼라이너)(23)가 설치되어 있어도 좋다.
로딩 에어리어(작업 영역)(40)는, 수납 용기(21, 22)와 후술하는 보트(44)와의 사이에서 웨이퍼(W)의 이재를 행하여, 보트(44)를 처리 용기(65) 내에 반입(로드)하고, 보트(44)를 처리 용기(65)로부터 반출(언로드)하기 위한 것이다. 로딩 에어리어(40)에는, 도어 기구(41), 셔터 기구(42), 덮개체(43), 보트(44), 기대(45a, 45b), 승강 기구(46) 및, 이재 기구(47)가 설치되어 있다.
또한, 덮개체(43) 및 보트(44)는, 본 발명에 있어서의 기판 보유지지부에 상당한다.
도어 기구(41)는, 수납 용기(21, 22)의 덮개를 떼어내어 수납 용기(21, 22) 내를 로딩 에어리어(40) 내에 연통 개방하기 위한 것이다.
셔터 기구(42)는, 로딩 에어리어(40)의 상방에 설치되어 있다. 셔터 기구(42)는, 덮개체(43)를 열고 있을 때에, 후술하는 로구(爐口; 68a)로부터 고온의 로 내의 열이 로딩 에어리어(40)에 방출되는 것을 억제 내지 방지하기 위해 로구(68a)를 덮도록(또는 막도록) 설치되어 있다.
덮개체(43)는, 보온통(48) 및 회전 기구(49)를 갖는다. 보온통(48)은, 덮개체(43) 상에 설치되어 있다. 보온통(48)은, 보트(44)가 덮개체(43)측과의 전열에 의해 냉각되는 것을 방지하고, 보트(44)를 보온하기 위한 것이다. 회전 기구(49)는, 덮개체(43)의 하부에 부착되어 있다. 회전 기구(49)는, 보트(44)를 회전시키기 위한 것이다. 회전 기구(49)의 회전축은 덮개체(43)를 기밀하게 관통하여, 덮개체(43) 상에 배치된 도시하지 않는 회전 테이블을 회전하도록 설치되어 있다.
승강 기구(46)는, 보트(44)의, 로딩 에어리어(40)로부터 처리 용기(65)에 대한 반입, 반출시에 있어서, 덮개체(43)를 승강 구동한다. 그리고, 승강 기구(46)에 의해 상승된 덮개체(43)가 처리 용기(65) 내에 반입되어 있을 때에, 덮개체(43)는, 후술하는 로구(68a)에 맞닿아 로구(68a)를 밀폐하도록 설치되어 있다. 그리고, 덮개체(43)에 올려놓여져 있는 보트(44))는, 처리 용기(65) 내에서 웨이퍼(W)를 수평면 내에서 회전 가능하게 보유지지할 수 있다.
또한, 열처리 장치(10)는, 보트(44)를 복수 갖고 있어도 좋다. 이하, 본 실시 형태에서는, 도 2를 참조하여, 보트(44)를 2개 갖는 예에 대해서 설명한다.
로딩 에어리어(40)에는, 보트(44a, 44b)가 설치되어 있다. 그리고, 로딩 에어리어(40)에는, 기대(45a, 45b) 및 보트 반송 기구(45c)가 설치되어 있다. 기대(45a, 45b)는, 각각 보트(44a, 44b)가 덮개체(43)로부터 이재되는 재치대이다. 보트 반송 기구(45c)는, 보트(44a, 44b)를, 덮개체(43)로부터 기대(45a, 45b)에 이재하기 위한 것이다.
보트(44a, 44b)는, 예를 들면 석영제이며, 대구경, 예를 들면 직경 300㎜의 웨이퍼(W)를 수평 상태에서 상하 방향으로 소정의 간격(피치폭)으로 탑재하도록 되어 있다. 보트(44a, 44b)는, 예를 들면 도 3에 나타내는 바와 같이, 천판(50)과 저판(51)의 사이에 복수개, 예를 들면 3개의 지주(52)를 개설하여 이루어진다. 지주(52)에는, 웨이퍼(W)를 보유지지하기 위한 클로우부(53)가 설치되어 있다. 또한, 지주(52)와 함께 보조주(54)가 적절히 설치되어 있어도 좋다.
이재 기구(47)는, 수납 용기(21, 22)와 보트(44a, 44b)의 사이에서 웨이퍼(W)의 이재를 행하기 위한 것이다. 이재 기구(47)는, 기대(57), 승강 아암(58) 및 복수의 포크(이재판)(59)를 갖는다. 기대(57)는, 승강 및 선회 가능하게 설치되어 있다. 승강 아암(58)은, 볼 나사 등에 의해 상하 방향으로 이동 가능(승강 가능)하게 설치되고, 기대(57)는, 승강 아암(58)에 수평 선회 가능하게 설치되어 있다.
도 4는, 열처리로(60)의 구성의 개략을 나타내는 단면도이다.
열처리로(60)는, 예를 들면 복수매의 피처리 기판, 예를 들면 박판 원판 형상의 웨이퍼(W)를 수용하여 소정의 열처리를 행하기 위한 종형로로 할 수 있다.
열처리로(60)는, 재킷(62), 히터(63), 공간(64), 처리 용기(65)를 구비하고 있다.
처리 용기(65)는, 보트(44)에 보유지지된 웨이퍼(W)를 수납하여 열처리하기 위한 것이다. 처리 용기(65)는, 예를 들면 석영제이며, 종장(縱長)의 형상을 갖고 있다.
처리 용기(65)는, 하부의 매니폴드(68)를 통하여 베이스 플레이트(66)에 지지되어 있다. 또한, 매니폴드(68)로부터 처리 용기(65)로는, 인젝터(71)를 통하여 처리 가스가 공급된다. 인젝터(71)는, 가스 공급원(72)과 접속되어 있다. 또한, 처리 용기(65)에 공급된 처리 가스나 퍼지 가스는, 배기 포트(73)를 통하여 감압 제어가 가능한 진공 펌프를 구비한 배기계(74)에 접속되어 있다.
전술한 바와 같이, 덮개체(43)는, 보트(44)가 처리 용기(65) 내에 반입되어 있을 때에, 매니폴드(68) 하부의 로구(68a)를 폐색한다. 전술한 바와 같이, 덮개체(43)는, 승강 기구(46)에 의해 승강 이동 가능하게 설치되어 있고, 덮개체(43)의 상부에는 보온통(48)이 올려놓여져 있으며, 보온통(48)의 상부에는, 웨이퍼(W)를 다수매 상하 방향으로 소정의 간격으로 탑재하는 보트(44)가 설치되어 있다.
재킷(62)은, 처리 용기(65)의 주위를 덮도록 설치되어 있음과 함께, 처리 용기(65)의 주위에 공간(64)을 획성하고 있다. 처리 용기(65)가 원통 형상을 갖고 있기 때문에, 재킷(62)도 원통 형상을 갖고 있다. 재킷(62)은, 베이스 플레이트(66)에 지지되어 있고, 베이스 플레이트(66)에는, 처리 용기(65)를 하방으로부터 상방으로 삽입하기 위한 개구부(67)가 형성되어 있다. 재킷(62)의 내측으로서, 공간(64)의 외측에는, 예를 들면 글래스 울(glass wool)로 이루어지는 단열재(62a)가 설치되어 있어도 좋다.
또한, 재킷(62)은, 본 발명에 있어서의 덮개 부재에 상당한다.
본 실시 형태에서는, 개구부(67)에 있어서의 재킷(62)과 처리 용기(65)와의 극간에는, 극간을 통하여 재킷(62)의 외부로부터 공간(64)으로의 공기의 유입을 억제하기 위한 유입 억제 부재(67a)를 설치하는 것이 바람직하다. 유입 억제 부재(67a)로서, 예를 들면 글래스 울을 이용할 수 있다. 이에 따라, 후술하는 바와 같이, 공간(64) 내의 압력이 외부의 압력(대기압)보다도 저압이 되었을 때에도, 공간(64) 내의 기체의 온도보다도 낮은 외부의 공기가 개구부(67)를 통하여 공간(64) 내에 유입되어, 종방향으로 온도차가 발생하는 것을 억제할 수 있다.
또한, 공간(64)에는, 공간(64)의 내압의 대기압에 대한 차압(差壓)을 계측하기 위한 차압계(75)가 설치되어 있어도 좋다. 공간(64)의 내압의 대기압에 대한 차압을 계측하기 위해, 차압계(75)는, 공간(64)으로서 개구부(67) 부근의 부분에 연통하도록 설치되어 있는 것이 바람직하다.
히터(63)는, 처리 용기(65)의 주위를 덮도록 설치되어 있어, 처리 용기(65)를 가열함과 함께, 보트(44)에 보유지지된 웨이퍼(W), 즉 처리 용기(65) 내의 피가열물을 가열하기 위한 것이다. 히터(63)는, 재킷(62)의 내측으로서 공간(64)의 외측에 설치되어 있다. 히터(63)는, 예를 들면 카본 와이어 등의 발열 저항체로 이루어져, 공간(64)의 내부를 흐르는 기체의 온도를 제어함과 함께, 처리 용기(65) 내를 소정의 온도, 예를 들면 50~1200℃로 가열 제어 가능하다. 히터(63)는, 처리 용기(65) 및 웨이퍼(W)를 가열하는 가열부로서 기능한다.
공간(64) 및 처리 용기(65) 내의 공간은, 종방향을 따라서 복수의 단위 영역, 예를 들면 10의 단위 영역 A1, A2, A3, A4, A5, A6, A7, A8, A9, A10으로 분할되어 있다. 그리고, 히터(63)도, 상하 방향을 따라서 단위 영역과 1대1로 대응하도록, 63-1, 63-2, 63-3, 63-4, 63-5, 63-6, 63-7, 63-8, 63-9, 63-10으로 분할되어 있다. 히터(63-1~63-10)의 각각은, 예를 들면 사이리스터로 이루어지는 히터 출력부(86)에 의해, 단위 영역(A1~A10)의 각각에 대응하여 독립적으로 출력을 제어할 수 있도록 구성되어 있다. 히터(63-1~63-10)는, 본 발명에 있어서의 발열 소자에 상당한다.
또한, 본 실시 형태에서는, 공간(64) 및 처리 용기(65) 내의 공간을 상하 방향을 따라서 10의 단위 영역으로 분할한 예에 대해서 설명하지만, 단위 영역의 분할수는 10에 한정되지 않고, 공간(64)은 10 이외의 수에 의해 분할되어도 좋다. 또한, 본 실시 형태에서는 균등하게 분할하고 있지만, 이에 한정되지 않고, 온도 변화가 큰 개구부(67) 부근을 세세한 영역으로 분할해도 좋다.
또한, 히터(63)는, 종방향을 따라서 각각이 서로 상이한 위치에 설치되어 있으면 좋다. 따라서, 히터(63)는, 단위 영역(A1~A10)의 각각에 1대1로 대응하여 설치되어 있지 않아도 좋다.
공간(64)에는, 단위 영역(A1~A10)의 각각에 대응하여 온도를 검출하기 위한 히터 온도 센서(Ao1~Ao10)가 설치되어 있다. 또한, 처리 용기(65) 내의 공간에도, 단위 영역(A1~A10)의 각각에 대응하여 온도를 검출하기 위한 처리 용기 내 온도 센서(Ai1~Ai10)가 설치되어 있다. 히터 온도 센서(Ao1~Ao10) 및 처리 용기 내 온도 센서(Ai1~Ai10)는, 종방향을 따른 온도 분포를 검출하기 위해 온도를 검출하는 검출부로서 기능한다.
히터 온도 센서(Ao1~Ao10)로부터의 검출 신호 및, 처리 용기 내 온도 센서(Ai1~Ai10)로부터의 검출 신호는, 각각 라인(81, 82)을 통하여 제어부(100)에 도입된다. 검출 신호가 도입된 제어부(100)에서는, 히터 출력부(86)의 설정값을 계산하고, 계산한 설정값을 히터 출력부(86)에 입력한다. 그리고, 설정값이 입력된 히터 출력부(86)는, 입력된 설정값을 히터 출력 라인(87) 및 히터 단자(88)를 통하여 히터(63-1~63-10)의 각각으로 출력한다. 예를 들면 PID 제어에 의해 히터 출력부(86)의 설정값을 계산함으로써, 제어부(100)는, 히터 출력부(86)의 히터(63-1~63-10)의 각각으로의 출력, 즉 히터(63-1~63-10)의 각각의 발열량을 제어한다.
또한, 히터 온도 센서(Ao) 및 처리 용기 내 온도 센서(Ai)는, 처리 용기(65) 내의 종방향을 따른 온도 분포를 검출하기 위해, 종방향을 따라서 각각이 서로 상이한 위치에 설치되어 있으면 좋다. 따라서, 히터 온도 센서(Ao) 및 처리 용기 내 온도 센서(Ai)는, 단위 영역(A1~A10)의 각각에 1대1로 대응하여 설치되어 있지 않아도 좋다.
또한, 도 4에 나타내는 바와 같이, 웨이퍼(W)와 함께 로드·언로드되는 가동 온도 센서(Ap1~Ap10)가 설치되어 있어도 좋고, 가동 온도 센서(Ap1~Ap10)로부터의 검출 신호가, 라인(83)을 통하여 제어부(100)에 도입되도록 해도 좋다.
본 실시 형태에서는, 열처리로(60)는, 처리 용기(65)를 냉각하기 위한 냉각 기구(90)를 구비하고 있다.
냉각 기구(90)는, 송풍기(블로어)(91), 송풍관(92), 분기부(93) 및 배기관(94)을 갖는다.
송풍기(블로어)(91)는, 히터(63)가 설치되어 있는 공간(64) 내에, 예를 들면 공기로 이루어지는 냉각 가스를 송풍하여 처리 용기(65)를 냉각하기 위한 것이다.
송풍관(92)은, 송풍기(91)로부터의 냉각 가스를 히터(63)에 보내기 위한 것이다. 송풍관(92)은, 분기부(93)를 통하여 단위 영역(A1~A10)의 각각에 대응하는 송풍관 92-1, 92-2, 92-3, 92-4, 92-5, 92-6, 92-7, 92-8, 92-9, 92-10으로 분기된다. 공간(64)에는, 단위 영역(A1~A10)의 각각에 대응하는 부분으로 냉각 가스를 분출하는 분출공(92a-1~92a-10)이 설치되어 있고, 분기된 송풍관(92-1~92-10)의 각각은, 분출공(92a-1~92a-10)의 각각에 접속되어 있다. 즉, 냉각 가스는, 분출공(92a-1~92a-10)의 각각을 통하여 공간(64)에 공급된다. 도 4에 나타내는 예에서는, 송풍관(92-1~92-10)의 각각 및 분출공(92a-1~92a-10)의 각각은, 종방향을 따라서 설치되어 있다.
또한, 분출공(92a)은, 본 발명에 있어서의 공급구에 상당한다.
배기관(94)은, 공간(64) 내의 공기를 배출하기 위한 것이다. 공간(64)에는, 냉각 가스를 공간(64)으로부터 배기하기 위한 배기구(94a)가 설치되어 있고, 배기관(94)은, 일단이 배기구(94a)에 접속되어 있다.
또한, 도 4에 나타내는 바와 같이, 배기관(94)의 도중에 열교환기(95)를 설치함과 함께, 배기관(94)의 타단을 송풍기(91)의 흡인측에 접속해도 좋다. 그리고, 배기관(94)에 의해 배기한 냉각 가스를 공장 배기계에 배출하지 않고, 열교환기(95)에서 열교환한 후, 송풍기(91)에 되돌려, 순환 사용하도록 해도 좋다. 또한, 그 경우, 도시하지 않은 에어 필터를 통하여 순환시켜도 좋다. 혹은, 공간(64)으로부터 배출된 냉각 가스는, 배기관(94)으로부터 열교환기(95)를 통하여 공장 배기계에 배출되도록 되어도 좋다.
송풍기(블로어)(91)는, 제어부(100)로부터의 출력 신호에 의해, 예를 들면 인버터로 이루어지는 전력 공급부(91a)로부터 공급되는 전력을 제어함으로써, 송풍기(91)의 풍량을 제어할 수 있도록 구성되어 있다.
히터 온도 센서(Ao1~Ao10)로부터의 검출 신호 및, 처리 용기 내 온도 센서(Ai1~Ai10)로부터의 검출 신호가 제어부(100)에 도입되었을 때는, 제어부(100)는, 전력 공급부(91a)의 설정값을 계산하고, 계산한 설정값을 전력 공급부(91a)에 입력한다. 그리고, 설정값이 입력된 전력 공급부(91a)는, 입력된 설정값을, 송풍기 출력 라인(91b)을 통하여 송풍기(91)로 출력한다. 이와 같이 하여, 제어부(100)는, 송풍기(91)의 풍량을 제어한다.
본 실시 형태에서는, 송풍관(92-1~92-10)의 각각에는, 밸브(97(97-1~97-10))가 설치되어 있다. 밸브(97-1~97-10)의 각각은, 개도(開度)가 독립적으로 제어 가능하게 설치되어 있다. 밸브(97-1~97-10)는, 유량 제어 밸브로서 기능하는 것이며, 송풍관(92-1~92-10)의 각각은, 유량이 독립적으로 제어 가능하게 설치되어 있다. 즉, 분출공(92a-1~92a-10)의 각각을 통하여 공간(64)에 공급되는 냉각 가스의 유량이 독립적으로 제어 가능하게 설치되어 있다.
밸브(97-1~97-10)는, 개도를 미리 수동 밸브 등에 의해 조정한 후에 이용하는 것이라도 좋고, 혹은, 도 4에 나타내는 바와 같이, 예를 들면 모터 밸브 등과 같이, 개도를 밸브 제어부(98)로부터의 제어 신호에 의해 제어하는 것이라도 좋다.
도 4에 나타내는 예에서는, 밸브(97-1~97-10)는, 밸브 제어부(98)에 의해 제어 가능하게 구성되어 있다. 히터 온도 센서(Ao1~Ao10)로부터의 검출 신호, 또는 처리 용기 내 온도 센서(Ai1~Ai10)로부터의 검출 신호가 도입된 제어부(100)에서는, 밸브 제어부(98)의 설정값을 계산하고, 계산한 설정값을 밸브 제어부(98)에 입력한다. 그리고, 설정값이 입력된 밸브 제어부(98)는, 입력된 설정값을, 밸브 출력 라인(99)을 통하여 밸브(97-1~97-10)로 출력한다. 이와 같이 하여, 제어부(100)는, 밸브(97-1~97-10)의 개도를 제어함으로써, 분출공(92a-1~92a-10)의 각각을 통하여 공급되는 냉각 가스의 유량을 제어한다.
또한, 송풍기(91)의 풍량을 제어함과 함께, 밸브(97-1~97-10)의 개도를 제어함으로써, 분출공(92a-1~92a-10)의 각각을 통하여 공급되는 냉각 가스의 유량을 제어하도록 해도 좋다.
또한, 송풍관(92), 분출공(92a) 및 밸브(97)는, 종방향을 따라서 각각이 서로 상이한 위치에 설치되어 있으면 좋다. 따라서, 송풍관(92), 분출공(92a) 및 밸브(97)는, 단위 영역(A1~A10)의 각각에 1:1로 대응하여 설치되어 있지 않아도 좋다.
제어부(100)는, 예를 들면, 도시하지 않는 연산 처리부, 기억부 및 표시부를 갖는다. 연산 처리부는, 예를 들면 CPU(Central Processing Unit)를 갖는 컴퓨터이다. 기억부는, 연산 처리부에, 각종의 처리를 실행시키기 위한 프로그램을 기록한, 예를 들면 하드 디스크에 의해 구성되는 컴퓨터 판독 가능한 기록 매체이다. 표시부는, 예를 들면 컴퓨터의 화면으로 이루어진다. 연산 처리부는, 기억부에 기록된 프로그램을 판독하고, 그 프로그램에 따라서, 열처리 장치를 구성하는 각 부에 제어 신호를 보내, 후술하는 열처리를 실행한다.
또한, 제어부(100)에는, 처리 용기(65) 내의 피가열물인 웨이퍼(W)의 온도가 효율 좋게 설정 온도(소정 온도)로 수속(收束)되도록, 히터(63)에 공급하는 전력과 송풍기(91)에 공급하는 전력을 제어하기 위한 프로그램(시퀀스)이 편성되어 있다. 또한, 이 프로그램은, 히터 출력부(86)가 히터(63)에 공급하는 전력과, 전력 공급부(91a)가 송풍기(91)에 공급하는 전력을 제어함과 함께, 밸브 제어부(98)가 밸브(97)의 개도를 제어하는 것이라도 좋다.
다음으로, 본 실시 형태에 따른 열처리 장치를 이용한 열처리 방법에 대해서 설명한다.
도 5는, 본 실시 형태에 따른 열처리 장치를 이용한 열처리 방법에 있어서의 각 공정의 순서를 설명하기 위한 플로우 차트이다.
실시 형태(실시예)에서는, 처리 개시 후, 스텝 S11로서, 처리 용기(65) 내에 웨이퍼(W)를 반입한다(반입 공정). 도 1에 나타낸 열처리 장치(10)의 예에서는, 예를 들면 로딩 에어리어(40)에 있어서, 이재 기구(47)에 의해 수납 용기(21)로부터 보트(44a)로 웨이퍼(W)를 탑재하고, 웨이퍼(W)를 탑재한 보트(44a)를 보트 반송 기구(45c)에 의해 덮개체(43)에 올려놓을 수 있다. 그리고, 보트(44a)를 올려놓은 덮개체(43)를 승강 기구(46)에 의해 상승시켜 처리 용기(65) 내에 삽입함으로써, 웨이퍼(W)를 반입할 수 있다.
다음으로, 스텝 S12에서는, 처리 용기(65)의 내부를 감압한다(감압 공정). 배기계(74)의 배기 능력 또는 배기계(74)와 배기 포트(73)와의 사이에 설치되어 있는 도시하지 않는 유량 조정 밸브를 조정함으로써, 배기 포트(73)를 통하여 처리 용기(65)를 배기하는 배기량을 증대시킨다. 그리고, 처리 용기(65)의 내부를 소정 압력으로 감압한다.
다음으로, 스텝 S13에서는, 웨이퍼(W)의 온도를, 웨이퍼(W)를 열처리할 때의 소정 온도(열처리 온도)까지 상승시킨다(리커버리 공정).
보트(44a)를 처리 용기(65)의 내부에 반입한 직후는, 처리 용기(65)에 형성된 온도, 즉 예를 들면 가동 온도 센서(Ap1~Ap10)의 온도는, 실온 가까이까지 내려가 있다. 그 때문에, 히터(63)에 전력을 공급함으로써, 보트(44a)에 탑재되어 있는 웨이퍼(W)의 온도를 열처리 온도까지 상승시킨다.
본 실시 형태에서는, 후술하는 스텝 S15(냉각 공정)와 동일하게, 히터(63)의 가열량과 냉각 기구(90)의 냉각량을 밸런스시킴으로써, 웨이퍼(W)의 온도가 열처리 온도로 수속되도록 제어해도 좋다.
다음으로, 스텝 S14에서는, 히터(63)에 의해 가열함으로써, 보트(44)에 보유지지되어 있는 웨이퍼(W)를 열처리한다(열처리 공정).
보트(44)에 의해, 종방향을 따라서 웨이퍼(W)를 소정의 간격으로 복수 보유지지하고, 히터(63)에 의해 처리 용기(65)를 가열함으로써, 웨이퍼(W)의 온도를 소정 온도로 유지한다. 이 상태에서, 가스 공급원(72)으로부터 인젝터(71)를 통하여 처리 가스를 처리 용기(65) 내에 공급하고, 웨이퍼(W) 표면을 열처리한다. 예를 들면 수증기 가스로 이루어지는 처리 가스를 공급하여 웨이퍼(W)의 표면을 산화시킨다. 또한, 웨이퍼(W)의 열처리로서는, 산화 처리에 한정되지 않고, 확산, 감압 CVD 등의 각종의 열처리를 행해도 좋다.
다음으로, 스텝 S15에서는, 냉각 기구(90)에 의해, 복수의 분출공(92a-1~92a-10)의 각각을 통하여 공간(64)에 냉각 가스를 공급함으로써, 처리 용기(65)를 냉각하여, 웨이퍼(W)의 온도를 열처리 온도로부터 하강시킨다(냉각 공정). 이때, 송풍기(91)에 의해 공급되는 냉각 가스가, 유량이 독립적으로 제어 가능하게 설치되어 이루어지는 복수의 송풍관(92)의 분출공(92a)의 각각을 통하여 공간(64)에 공급됨으로써, 열처리한 웨이퍼(W)를 냉각한다.
히터 온도 센서(Ao1~Ao10)로부터의 검출 신호 및, 처리 용기 내 온도 센서(Ai1~Ai10)로부터의 검출 신호를 제어부(100)에 도입한다. 검출 신호가 도입된 제어부(100)는, 히터 출력부(86)의 설정값, 전력 공급부(91a)의 설정값 및 밸브 제어부(98)의 설정값을 계산하고, 계산한 설정값을, 히터 출력부(86), 전력 공급부(91a) 및 밸브 제어부(98)에 입력한다. 설정값이 입력된 히터 출력부(86)는, 입력된 설정값을, 히터 출력 라인(87)을 통하여 히터(63-1~63-10)의 각각으로 출력한다. 또한, 설정값이 입력된 전력 공급부(91a)는, 입력된 설정값을, 송풍기 출력 라인(91b)을 통하여 송풍기(91)로 출력한다. 또한, 설정값이 입력된 밸브 제어부(98)는, 입력된 설정값을, 밸브 출력 라인(99)을 통하여 밸브(97-1~97-10)로 출력한다.
또한, 검출 신호는, 본 발명에 있어서의 검출값에 상당한다.
이때, 처리 용기 내 온도 센서(Ai) 또는 히터 온도 센서(Ao)가 검출하는 검출 신호에 기초하여, 처리 용기(65)의 냉각 속도가 종방향을 따라서 동일해지도록, 분출공(92a-1~92a-10)의 각각으로부터 공급되는 냉각 가스의 유량을 독립적으로 제어한다. 예를 들면, 처리 용기 내 온도 센서(Ai1~Ai10) 또는 히터 온도 센서(Ao1~Ao10)의 각각이 검출하는 온도의 시간 변화율이 서로 동일해지도록, 분출공(92a-1~92a-10)의 각각으로부터 공간(64)에 공급되는 냉각 가스의 유량을 독립적으로 제어한다. 이러한 제어를 행함으로써, 각 웨이퍼(W)의 냉각 속도, 즉 온도의 시간 변화율이 서로 동일해지도록 할 수 있다. 또한, 냉각 공정을 개시할 때의 각 웨이퍼(W)의 온도가 동일한 경우에는, 처리 용기 내 온도 센서(Ai) 또는 히터 온도 센서(Ao)의 각각이 검출하는 온도의 시간 변화율을 동일하게 함으로써, 냉각 공정의 각 시점에 있어서의 각 웨이퍼(W)의 온도를 균일하게 할 수 있다.
또한, 처리 용기 내 온도 센서(Ai) 또는 히터 온도 센서(Ao)의 각각이 검출하는 온도의 시간 변화율이 서로 동일해지도록, 송풍기(91)의 풍량을 제어함과 함께, 밸브(97-1~97-10)의 각각의 개도를 독립적으로 제어해도 좋다.
또한, 스텝 S15(냉각 공정)를 행할 때에, 미리 프로그램에 기록된 온도와 시간과의 관계를 나타내는 냉각 곡선에 기초하여, 밸브(97-1~97-10)의 각각의 개도를 리얼 타임으로 독립적으로 제어해도 좋다. 또는, 스텝 S14(열처리 공정)의 후, 스텝 S15(냉각 공정)를 행하기 전에, 밸브(97-1~97-10)의 각각의 개도를 독립적으로 제어해 두고, 스텝 S15(냉각 공정)에서는 송풍기(91)의 풍량을 제어하도록 해도 좋다. 혹은, 미리 스텝 S11의 공정을 개시하기 전에, 밸브(97-1~97-10)의 각각의 개도를 독립적으로 제어해 두고, 스텝 S15(냉각 공정)에서는 송풍기(91)의 풍량을 제어하도록 해도 좋다.
다음으로, 스텝 S16에서는, 처리 용기(65)의 내부를 대기압으로 복압한다(복압 공정). 배기계(74)의 배기 능력 또는 배기계(74)와 배기 포트(73)와의 사이에 설치되어 있는, 도시하지 않는 유량 조정 밸브를 조정함으로써, 처리 용기(65)를 배기하는 배기량을 감소시키고, 예를 들면 질소(N2) 퍼지 가스를 도입하여 처리 용기(65)의 내부를 대기압으로 복압한다.
다음으로, 스텝 S17에서는, 처리 용기(65)로부터 웨이퍼(W)를 반출한다(반출 공정). 도 1에 나타낸 열처리 장치(10)의 예에서는, 예를 들면 보트(44a)를 올려놓은 덮개체(43)를 승강 기구(46)에 의해 하강시켜 처리 용기(65) 내로부터 로딩 에어리어(40)에 반출할 수 있다. 그리고, 이재 기구(47)에 의해, 반출한 덮개체(43)에 올려놓여져 있는 보트(44a)로부터 수납 용기(21)로 웨이퍼(W)를 이재함으로써, 웨이퍼(W)를 처리 용기(65)로부터 반출할 수 있다. 그리고, 웨이퍼(W)를 처리 용기(65)로부터 반출함으로써, 열처리 작업은 종료된다.
또한, 복수의 배치에 대해서 연속하여 열처리 작업을 행할 때는, 추가로, 로딩 에어리어(40)에 있어서, 이재 기구(47)에 의해 수납 용기(21)로부터 웨이퍼(W)를 보트(44)에 이재하고, 다시 스텝 S11로 되돌아와, 다음의 배치(batch)의 열처리 작업을 행한다.
[제1 실시 형태]
제1 실시 형태에서는, 실제로 웨이퍼를 보유지지한 보트(44)를 처리 용기(65) 내에 반입하고, 스텝 S15(냉각 공정)를 행했을 때의 각 단위 영역에 있어서의 온도를 측정하여, 각 단위 영역에 있어서의 온도차의 평가를 행했기 때문에, 그 평가 결과에 대해서 설명한다.
실시예 1로서, 가장 개구부(67)측의 밸브(97-1)의 개도를 미리 50%로 하고, 다른 밸브(97-2~97-10)의 개도를 미리 100%로 한 상태에서, 스텝 S15(냉각 공정)의 일 예로서, 800℃에서 400℃까지의 냉각을 행했다. 또한, 비교예 1로서, 모든 밸브(97-1~97-10)의 개도를 미리 100%로 한 상태에서, 실시예 1과 동일하게, 800℃에서 400℃까지의 냉각을 행했다. 또한, 실시예 1 및 비교예 1에서는, 차압계(75)에 의해 계측한 공간(64)의 대기압에 대한 차압은 대략 0이며, 공간(64)의 내압은 대략 대기압과 동일했다.
도 6 및 도 7은, 각각 실시예 1 및 비교예 1에 있어서의, 각 단위 영역에서의 온도와 시간과의 관계를 나타내는 그래프이다. 도 6 및 도 7에서는, 도시를 용이하게 하기 위해, 처리 용기 내 온도 센서(Ai1~Ai10)가 검출한 온도 중, 가장 높은 검출 온도와, 가장 낮은 검출 온도만을 나타내고 있다.
또한, 실시예 1 및 비교예 1에 있어서의, 온도의 시간 변화율(이하, 「냉각 속도」라고 함), 냉각 개시 후 12분의 시각에 있어서의 가장 높은 검출 온도와 가장 낮은 검출 온도와의 차이(이하, 「면간 온도차」라고 함)를, 표 1에 나타낸다.
[표 1]
Figure pat00001
표 1에 나타내는 바와 같이, 실시예 1과 비교예 1에서는, 냉각 속도는 대략 동일하다. 또한, 실시예 1에 있어서의 냉각 개시 후 12분의 시각에 있어서의 면간 온도차는 18.3℃이며, 비교예 1에 있어서의 동(同)시각에 있어서의 최대 온도차 43.3℃보다도 작다. 따라서, 실시예 1에 의하면, 종방향을 따른 냉각 속도의 차이가 발생하는 것을 억제할 수 있다.
비교예 1과 같이 냉각 속도의 차이가 발생하는 경우라도, 각 단위 영역에 있어서의 히터(63)의 출력의 차이를 크게 함으로써, 각 단위 영역에 있어서의 냉각 속도가 동일해지도록 제어할 수 있다. 그러나, 그러기 위해서는, 냉각 속도가 큰 단위 영역에 있어서의 히터(63)의 출력을, 다른 단위 영역에 있어서의 히터(63)의 출력보다도 크게 할 필요가 있다. 따라서, 전체로서의 전력 소비량이 증가할 우려가 있다.
한편, 본 실시 형태에서는, 각 단위 영역에 있어서의 밸브(97)의 개도를 독립적으로 제어하고, 각 단위 영역에 있어서의 분출공(92a)을 통하여 공급되는 냉각 가스의 유량을 독립적으로 제어한다. 이에 따라, 각 단위 영역에 있어서의 히터(63)의 출력의 차이를 크게 하지 않아도, 각 단위 영역에 있어서의 냉각 속도가 동일해지도록 제어할 수 있다.
또한, 비교예 2로서, 유입 억제 부재(67a)를 제거한 상태에서, 또한 차압계(75)에 의해 계측한 공간(64)의 대기압에 대한 차압이 -11㎩일 때에, 비교예 1과 동일하게, 800℃에서 400℃까지의 냉각을 행했다. 도 8은, 비교예 2에 있어서의, 각 단위 영역에서의 온도와 시간과의 관계를 나타내는 그래프이다. 도 8에서는, 도시를 용이하게 하기 위해, 처리 용기 내 온도 센서(Ai1~Ai10)가 검출한 온도 중, 가장 높은 검출 온도와, 가장 낮은 검출 온도만을 나타내고 있다. 또한, 비교예 2에 있어서의, 냉각 속도, 면간 온도차도, 표 1에 나타낸다.
표 1에 나타내는 바와 같이, 비교예 2에서는, 냉각 속도는 대략 동일하다. 또한, 비교예 2에 있어서의 냉각 개시 후 12분의 시각에 있어서의 면간 온도차는 92.3℃이며, 비교예 1에 있어서의 동시각에 있어서의 면간 온도차 43.3℃보다도 크다. 따라서, 공간(64)의 내압의 대기압에 대한 차압이 부압이 되면, 면간 온도차가 커진다. 이것은, 예를 들면, 부압이 된 공간(64)에 개구부(67)로부터 실온에 가까운 외기(外氣)가 유입되기 때문에, 개구부(67) 부근에 있어서 냉각 속도가 커지기 때문으로 생각된다.
[제2 실시 형태]
제2 실시 형태에서는, 유입 억제 부재(67a)를 설치하는 것의 효과에 대해서 평가를 행했기 때문에, 그 평가 결과에 대해서 설명한다.
도 9 및 도 10은, 유입 억제 부재(67a)의 효과를 설명하기 위한 도면으로서, 처리 용기 내 온도 센서(Ai1~Ai10)가 검출한 온도 중, 가장 높은 검출 온도와, 가장 낮은 검출 온도의 차이(이하, 면간 온도차라고 칭함)와 시간과의 관계를 나타내는 그래프이다.
도 9에서는, 유입 억제 부재(67a)를 설치하고, 공간(64)의 대기압에 대한 차압이 -216㎩ 또는 -333㎩인 상태에서, 570℃에서 300℃까지의 냉각(스텝 S15)을 행했다.
한편, 도 10에서는, 유입 억제 부재(67a)를 설치하지 않고, 공간(64)의 대기압에 대한 차압이 -161㎩ 또는 -210㎩인 상태에서, 570℃에서 300℃까지의 냉각(스텝 S15)을 행했다.
도 9의 조건에서는, 개구부(67)에 있어서의 재킷(62)과 처리 용기(65)와의 극간에 유입 억제 부재(67a)를 설치하고 있다. 그 때문에, 공간(64)의 내압이 변화한 경우에 있어서도, 각 시간에 있어서의 면간 온도차의 변화가 작다. 한편, 도 10의 조건에서는, 개구부(67)에 있어서의 재킷(62)과 처리 용기(65)와의 극간에 유입 억제 부재(67a)를 설치하지 않았다. 그 때문에, 공간(64)의 내압이 변화한 경우에 있어서의, 각 시간에 있어서의 면간 온도차의 변화가 크다.
통상, 공간(64)의 내압이 변화한 경우, 공간(64)의 대기압에 대한 부의 차압의 절대값이 커짐에 따라, 개구부(67)로부터 공간(64)으로 유입하는 외기가 많아지기 때문에, 도 10에 나타내는 바와 같이, 면간 온도차가 커진다. 그러나, 도 9에 있어서 유입 억제 부재(67a)를 설치함으로써, 공간(64)의 내압이 대기압에 대하여 부압이 된 경우라도, 개구부(67)로부터 공간(64)으로의 실온에 가까운 외기의 유입을 효과적으로 억제할 수 있었다고 생각된다.
따라서, 유입 억제 부재(67a)를, 본 발명의 공급부가 공급구의 각각을 통하여 기체를 공급하는 공급 유량이 독립적으로 제어 가능한 열처리 장치에 설치함으로써, 보다 용이하게 각 단위 영역에 있어서의 냉각 속도가 동일해지도록 제어할 수 있다.
[제3 실시 형태]
또한, 본 실시 형태에 따른 열처리 방법으로서, 냉각 공정에 있어서, 처리 용기 내 온도 센서(Ai) 는 히터 온도 센서(Ao)의 온도를 미리 설정된 온도 패턴으로 제어함과 함께, 그 온도 패턴의 설정 방법에 대해서, 선택 가능한 복수의 모드를 마련하고 있어도 좋다. 여기에서는, 웨이퍼 간의 온도의 균일성을 고(高)정밀도로 제어 가능한 제1 모드와, 웨이퍼 간의 온도의 균일성의 정밀도는 조금 낮아지지만 전력 소비량을 저감 가능한 제2 모드를 갖는 예에 대해서 설명한다.
제1 모드에서는, 밸브(97-1~97-10)의 각각의 개도를 독립적으로 제어하여, 송풍기(91)의 풍량을 제어함과 함께, 히터(63-1~63-10)의 각각의 발열량을 독립적으로 제어한다. 그리고, 처리 용기 내 온도 센서(Ai1~Ai10) 또는 히터 온도 센서(Ao1~Ao10) 중 어느 온도도 미리 설정된 동일한 온도 패턴으로 제어한다.
한편, 제2 모드에서는, 히터(63-1~63-10)에 의한 가열을 정지한 상태에서, 밸브(97-1~97-10)의 각각의 개도를 독립적으로 제어함과 함께, 송풍기(91)의 풍량을 제어한다. 그리고, 처리 용기 내 온도 센서(Ai1~Ai10) 또는 히터 온도 센서(Ao1~Ao10) 중 어느 온도를 미리 설정된 온도 패턴으로 제어한다.
도 11은, 제1 모드를 행했을 때의, 처리 용기 내 온도 센서(Ai1~Ai10)가 검출한 온도와 시간과의 관계를 나타내는 그래프이다. 또한, 도 12는, 도 11의 결과가 얻어졌을 때의, 송풍기(91)의 출력 및 히터(63)의 출력과 시간과의 관계를 나타내는 그래프이다. 또한, 도 11에서는, 온도를 800℃에서 600℃까지 냉각하는 예를 나타내고 있다. 또한, 도 12에서는, 도시를 용이하게 하기 위해, 히터(63)의 출력에 대해서는, 히터(63-1~63-10)를 대표하여, 어느 1개의 히터의 출력만을 나타내고 있다.
또한, 제1 모드 및 제2 모드에 대해서, 냉각 개시 후 12 분의 시각에 있어서의 가장 높은 검출 온도와 가장 낮은 검출 온도와의 차이(면간 온도차) 및 냉각 공정에 있어서의 적산 전력을, 표 2에 나타낸다.
[표 2]
Figure pat00002
도 12에 나타내는 바와 같이, 송풍기(91)의 출력은, 냉각 공정 개시 직후, 온도가 800℃ 부근에서 일단 100%가 된 후, 45% 정도까지 감소하고, 그 후, 온도의 하강에 수반하여 서서히 증가한다. 그리고, 송풍기(91)의 출력은, 냉각 공정 종료 직전, 온도가 600℃ 부근에서 일단 출력이 증가한 후, 냉각 공정 종료 후에 다시 0%가 된다.
도 13은, 제2 모드를 행했을 때의, 처리 용기 내 온도 센서(Ai1~Ai10)가 검출한 온도와 시간과의 관계를 나타내는 그래프이다. 또한, 도 14는, 도 13의 결과가 얻어졌을 때의, 송풍기(91)의 출력 및 히터(63)의 출력과 시간과의 관계를 나타내는 그래프이다. 또한, 도 13에서는, 온도를 800℃에서 600℃까지 냉각하는 예를 나타내고 있다.
도 14에 나타내는 바와 같이, 송풍기(91)의 출력은, 냉각 공정 개시 직후, 온도가 800℃ 부근에서 일단 100%가 된 후, 20% 정도까지 감소하고, 그 후, 온도의 하강에 수반하여 서서히 증가한다. 그리고, 송풍기(91)의 출력은, 냉각 공정 종료 직전, 온도가 600℃ 부근에서 일단 출력이 증가한 후, 냉각 공정 종료 후에 다시 0%가 된다.
제2 모드에서는, 도 13에 나타내는 바와 같이, 예를 들면 개구부(67) 부근, 즉 하측의 단위 영역에서는 냉각 속도가 커지기 때문에, 면간 온도차는 조금 커진다. 그러나, 도 14에 나타내는 바와 같이, 히터(63)의 출력이 없기 때문에, 전력 소비량을 저감할 수 있다.
표 2에 나타내는 바와 같이, 제2 모드의 면간 온도차는 27.4℃이며, 제1 모드의 면간 온도차 7.5℃보다도 조금 크다. 그러나, 제2 모드의 냉각 공정에 있어서의 전력 소비량은 1.63kWh이며, 제1 모드의 냉각 공정에 있어서의 전력 소비량 3.64kWh보다도 저감할 수 있다.
또한, 제1 모드와 제2 모드의 중간의 모드인 제3 모드를 마련해도 좋다. 제3 모드로서, 예를 들면 제1 모드에 있어서의 히터(63)의 출력에 소정의 비율을 곱한 것으로 할 수 있다. 이에 따라, 웨이퍼 간의 온도의 균일성을 그만큼 낮게 하는 일 없이 전력 소비량을 제1 모드보다도 저감할 수 있다.
이상, 본 발명의 바람직한 실시 형태에 대해서 기술했지만, 본 발명은 이러한 특정의 실시 형태에 한정되는 것이 아니고, 특허 청구의 범위 내에 기재된 본 발명의 요지의 범위 내에 있어서, 여러 가지의 변형·변경이 가능하다.
또한, 실시 형태에서는, 히터와, 분출공과, 온도 센서는, 하나의 방향을 따라서 연재되고, 기판을 열처리하는 열처리 장치에 구비된 처리 용기 내에 각각 복수 설치되어 있는 예에 대해서 설명했다. 그러나, 히터와, 분출공과, 온도 센서는, 하나의 방향을 따라서 연재되는 용기의 온도를 제어하는 온도 제어 시스템에 각각 복수 설치된 것이라도 좋다. 그리고, 온도 제어 시스템에 있어서, 용기를 냉각할 때에, 온도 센서가 검출한 검출값에 기초하여, 용기의 냉각 속도가 하나의 방향을 따라서 동일해지도록, 분출공을 통하여 냉각 가스를 공급하는 유량을 독립적으로 제어하는 온도 제어 방법을 행해도 좋다.
10 : 열처리 장치
44 : 보트
60 : 열처리로
62 : 재킷
63, 63-1~63-10 : 히터
64 : 공간
65 : 처리 용기
67 : 개구부
67a : 유입 억제 부재
68a : 로구
75 : 차압계
86 : 히터 출력부
90 : 냉각 기구
91 : 송풍기(블로어)
91a : 전력 공급부
92, 92-1~92-10 : 송풍관
92a, 92a-1~92a-10 : 분출공
94 : 배기관
94a : 배기구
97 : 밸브
98 : 밸브 제어부
100 : 제어부
Ai1~Ai10 : 처리 용기 내 온도 센서

Claims (18)

  1. 기판을 열처리하는 열처리 장치에 있어서,
    처리 용기와,
    상기 처리 용기 내에서, 하나의 방향을 따라서 기판을 소정의 간격으로 복수 보유지지(保持) 가능한 기판 보유지지부와,
    상기 처리 용기를 가열하는 가열부와,
    기체를 공급하는 공급부와, 상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 공급구를 포함하고, 상기 공급부가 상기 공급구의 각각을 통하여 상기 처리 용기에 기체를 공급함으로써 상기 처리 용기를 냉각하는 냉각부를 갖고,
    상기 냉각부는, 상기 공급부가 상기 공급구의 각각을 통하여 기체를 공급하는 공급 유량이 독립적으로 제어 가능하게 설치된 것인 열처리 장치.
  2. 제1항에 있어서,
    상기 냉각부는, 상기 처리 용기를 냉각할 때에, 상기 처리 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 공급 유량이 독립적으로 제어 가능하게 설치된 것인 열처리 장치.
  3. 제2항에 있어서,
    상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 검출 소자를 포함하고, 상기 처리 용기 내의 상기 하나의 방향을 따른 온도 분포를 검출하기 위한 검출부와,
    상기 처리 용기를 냉각할 때에, 상기 검출부가 검출한 검출값에 기초하여, 상기 처리 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 공급 유량을 독립적으로 제어하는 제어부를 갖는 열처리 장치.
  4. 제3항에 있어서,
    상기 가열부는, 상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 발열 소자를 포함하는 것이며,
    상기 제어부는, 상기 처리 용기를 냉각할 때에, 상기 검출값에 기초하여, 상기 처리 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 발열 소자의 각각의 발열량을 독립적으로 제어함과 함께, 상기 공급 유량을 독립적으로 제어하는 것인 열처리 장치.
  5. 제3항 또는 제4항에 있어서,
    상기 공급부는, 기체를 송풍하는 송풍기이며,
    상기 냉각부는, 각각이, 상기 송풍기로부터 상기 공급구의 각각으로 공급되는 기체가 흐르는 각각의 유로에 설치된, 복수의 유량 조정 밸브를 포함하고,
    상기 제어부는, 상기 처리 용기를 냉각할 때에, 상기 검출값에 기초하여, 상기 처리 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 송풍기가 기체를 송풍하는 풍량을 제어함과 함께, 상기 유량 조정 밸브의 각각의 개도(開度)를 독립적으로 제어함으로써, 상기 공급 유량을 독립적으로 제어하는 것인 열처리 장치.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 처리 용기의 주위를 덮도록 설치되어 있음과 함께, 상기 처리 용기의 주위에, 배기구를 통하여 내부가 배기 가능한 공간을 획성(劃成)하고 있는 덮개 부재를 갖고,
    상기 냉각부는, 상기 배기구를 통하여 배기되고 있는 상기 공간의 내부에, 상기 공급구의 각각을 통하여 기체를 공급함으로써 상기 처리 용기를 냉각하는 것이며,
    상기 덮개 부재는, 개구부가 형성되어 이루어짐과 함께, 상기 개구부를 통하여 상기 처리 용기가 상기 덮개 부재의 내부에 삽입되어 이루어지는 것이며,
    상기 개구부에 있어서의 상기 덮개 부재와 상기 처리 용기와의 극간에는, 상기 극간을 통하여 상기 덮개 부재 내로 외기(外氣)가 유입되는 것을 억제하기 위한 유입 억제 부재가 설치되어 있는 열처리 장치.
  7. 하나의 방향을 따라서 연재(延在)되는 용기의 온도를 제어하는 온도 제어 시스템에 있어서,
    상기 용기를 가열하는 가열부와,
    기체를 공급하는 공급부와, 상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 공급구를 포함하고, 상기 공급부가 상기 공급구의 각각을 통하여 상기 용기에 기체를 공급함으로써 상기 용기를 냉각하는 냉각부와,
    상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 검출 소자를 포함하고, 상기 용기 내의 상기 하나의 방향을 따른 온도 분포를 검출하기 위한 검출부와,
    상기 용기를 냉각할 때에, 상기 검출부가 검출한 검출값에 기초하여, 상기 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 공급부가 상기 공급구의 각각을 통하여 기체를 공급하는 공급 유량을 독립적으로 제어하는 제어부를 갖는 온도 제어 시스템.
  8. 제7항에 있어서,
    상기 가열부는, 상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 발열 소자를 포함하는 것이며,
    상기 제어부는, 상기 용기를 냉각할 때에, 상기 검출값에 기초하여, 상기 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 발열 소자의 각각의 발열량을 독립적으로 제어함과 함께, 상기 공급 유량을 독립적으로 제어하는 것인 온도 제어 시스템.
  9. 제7항 또는 제8항에 있어서,
    상기 공급부는, 기체를 송풍하는 송풍기이며,
    상기 냉각부는, 각각이, 상기 송풍기로부터 상기 공급구의 각각으로 공급되는 기체가 흐르는 각각의 유로에 설치된, 복수의 유량 조정 밸브를 포함하고,
    상기 제어부는, 상기 용기를 냉각할 때에, 상기 검출값에 기초하여, 상기 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 송풍기가 기체를 송풍하는 풍량을 제어함과 함께, 상기 유량 조정 밸브의 각각의 개도를 독립적으로 제어함으로써, 상기 공급 유량을 독립적으로 제어하는 것인 온도 제어 시스템.
  10. 기판을 열처리하는 열처리 방법에 있어서,
    처리 용기 내에서, 기판 보유지지부에 의해, 하나의 방향을 따라서 기판을 소정의 간격으로 복수 보유지지한 상태에서, 가열부에 의해 상기 처리 용기를 가열함으로써, 상기 기판 보유지지부에 보유지지되어 있는 기판을 열처리하는 열처리 공정과,
    상기 열처리 공정 후, 공급부에 의해, 상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 공급구의 각각을 통하여 상기 처리 용기에 기체를 공급함으로써, 상기 처리 용기를 냉각하는 냉각 공정을 갖고,
    상기 냉각 공정은, 상기 처리 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 공급부가 상기 공급구의 각각을 통하여 기체를 공급하는 공급 유량을 독립적으로 제어하는 것인 열처리 방법.
  11. 제10항에 있어서,
    상기 냉각 공정은, 상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 검출 소자를 포함하고, 상기 처리 용기 내의 상기 하나의 방향을 따른 온도 분포를 검출하기 위한 검출부가 검출한 검출값에 기초하여, 상기 처리 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 공급 유량을 독립적으로 제어하는 것인 열처리 방법.
  12. 제11항에 있어서,
    상기 가열부는, 상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 발열 소자를 포함하는 것이며,
    상기 냉각 공정은, 상기 검출값에 기초하여, 상기 처리 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 발열 소자의 각각의 발열량을 독립적으로 제어함과 함께, 상기 공급 유량을 독립적으로 제어하는 것인 열처리 방법.
  13. 제11항 또는 제12항에 있어서,
    상기 공급부는, 기체를 송풍하는 송풍기이며,
    상기 냉각 공정은, 상기 검출값에 기초하여, 상기 처리 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 송풍기가 기체를 송풍하는 풍량을 제어함과 함께, 각각이, 상기 송풍기로부터 상기 공급구의 각각으로 공급되는 기체가 흐르는 각각의 유로에 설치된, 복수의 유량 조정 밸브의 각각의 개도를 독립적으로 제어함으로써, 상기 공급 유량을 독립적으로 제어하는 것인 열처리 방법.
  14. 하나의 방향을 따라서 연재되는 용기의 온도를 제어하는 온도 제어 방법에 있어서,
    가열부에 의해 상기 용기를 가열한 후, 공급부에 의해, 상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 공급구의 각각을 통하여 상기 용기에 기체를 공급함으로써, 상기 용기를 냉각하는 냉각 공정을 갖고,
    상기 냉각 공정은, 상기 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 공급구의 각각을 통하여 기체를 공급하는 공급 유량을 독립적으로 제어하는 것인 온도 제어 방법.
  15. 제14항에 있어서,
    상기 냉각 공정은, 상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 검출 소자를 포함하고, 상기 용기 내의 상기 하나의 방향을 따른 온도 분포를 검출하기 위한 검출부가 검출한 검출값에 기초하여, 상기 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 공급 유량을 독립적으로 제어하는 것인 온도 제어 방법.
  16. 제15항에 있어서,
    상기 가열부는, 상기 하나의 방향을 따라서 각각이 서로 상이한 위치에 설치된 복수의 발열 소자를 포함하는 것이며,
    상기 냉각 공정은, 상기 검출값에 기초하여, 상기 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 발열 소자의 각각의 발열량을 독립적으로 제어함과 함께, 상기 공급 유량을 독립적으로 제어하는 것인 온도 제어 방법.
  17. 제15항 또는 제16항에 있어서,
    상기 공급부는, 기체를 송풍하는 송풍기이며,
    상기 냉각 공정은, 상기 검출값에 기초하여, 상기 용기의 냉각 속도가 상기 하나의 방향을 따라서 동일해지도록, 상기 송풍기가 기체를 송풍하는 풍량을 제어함과 함께, 각각이, 상기 송풍기로부터 상기 공급구의 각각으로 공급되는 기체가 흐르는 각각의 유로에 설치된, 복수의 유량 조정 밸브의 각각의 개도를 독립적으로 제어함으로써, 상기 공급 유량을 독립적으로 제어하는 것인 온도 제어 방법.
  18. 컴퓨터에 제10항 내지 제13항 중 어느 한 항에 기재된 열처리 방법 또는 제14항 내지 제17항 중 어느 한 항에 기재된 온도 제어 방법을 실행시키기 위한 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체.
KR1020120094300A 2011-09-13 2012-08-28 열처리 장치, 온도 제어 시스템, 열처리 방법, 온도 제어 방법 및 그 열처리 방법 또는 그 온도 제어 방법을 실행시키기 위한 프로그램을 기록한 기록 매체 KR20130029009A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011199621A JP2013062361A (ja) 2011-09-13 2011-09-13 熱処理装置、温度制御システム、熱処理方法、温度制御方法及びその熱処理方法又はその温度制御方法を実行させるためのプログラムを記録した記録媒体
JPJP-P-2011-199621 2011-09-13

Publications (1)

Publication Number Publication Date
KR20130029009A true KR20130029009A (ko) 2013-03-21

Family

ID=47830144

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120094300A KR20130029009A (ko) 2011-09-13 2012-08-28 열처리 장치, 온도 제어 시스템, 열처리 방법, 온도 제어 방법 및 그 열처리 방법 또는 그 온도 제어 방법을 실행시키기 위한 프로그램을 기록한 기록 매체

Country Status (5)

Country Link
US (1) US20130065189A1 (ko)
JP (1) JP2013062361A (ko)
KR (1) KR20130029009A (ko)
CN (1) CN103000555A (ko)
TW (1) TW201342473A (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200010086A (ko) * 2018-07-20 2020-01-30 도쿄엘렉트론가부시키가이샤 열처리 장치 및 열처리 방법
KR20200063080A (ko) * 2018-11-27 2020-06-04 도쿄엘렉트론가부시키가이샤 열처리 장치 및 열처리 방법
KR20200142462A (ko) * 2019-06-12 2020-12-22 가부시키가이샤 코쿠사이 엘렉트릭 가열부, 온도 제어 시스템, 처리 장치 및 반도체 장치의 제조 방법
US20220307770A1 (en) * 2021-03-29 2022-09-29 Tokyo Electron Limited Heat treatment apparatus and heat treatment method

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5394360B2 (ja) * 2010-03-10 2014-01-22 東京エレクトロン株式会社 縦型熱処理装置およびその冷却方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104952759B (zh) * 2014-03-24 2019-03-12 睿励科学仪器(上海)有限公司 晶圆清洁箱内微环境控制装置及控制方法
CN104941957B (zh) * 2014-03-24 2018-01-12 睿励科学仪器(上海)有限公司 晶圆清洁装置及方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR20160017699A (ko) * 2014-07-31 2016-02-17 세메스 주식회사 베이크 유닛, 이를 포함하는 기판 처리 장치 및 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6442339B2 (ja) 2015-03-26 2018-12-19 株式会社Screenホールディングス 熱処理装置および熱処理方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
JP6651408B2 (ja) * 2016-04-28 2020-02-19 光洋サーモシステム株式会社 熱処理装置
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN106222753B (zh) * 2016-08-22 2018-07-06 中国科学技术大学 一种微型快速升降温退火炉
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
JP6789040B2 (ja) * 2016-08-30 2020-11-25 東京応化工業株式会社 基板加熱装置及び基板加熱方法
JP6686800B2 (ja) * 2016-08-31 2020-04-22 ウシオ電機株式会社 光学測定器
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) * 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6964737B2 (ja) * 2017-01-12 2021-11-10 東京エレクトロン株式会社 熱処理装置及び温度制御方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) * 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
JP7009102B2 (ja) * 2017-07-27 2022-01-25 株式会社Screenホールディングス 熱処理装置の排気方法
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11043402B2 (en) 2017-09-12 2021-06-22 Kokusai Electric Corporation Cooling unit, heat insulating structure, and substrate processing apparatus
JP6752851B2 (ja) * 2017-09-12 2020-09-09 株式会社Kokusai Electric クーリングユニット、基板処理装置、および半導体装置の製造方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
CN110106335B (zh) * 2018-02-01 2021-04-13 福建省长汀金龙稀土有限公司 一种合金工件或金属工件的连续热处理装置以及方法
WO2019148918A1 (zh) * 2018-02-01 2019-08-08 福建省长汀金龙稀土有限公司 一种连续进行晶界扩散和热处理的装置以及方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10858738B2 (en) * 2018-03-29 2020-12-08 Asm International N.V. Wafer boat cooldown device
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP7090468B2 (ja) * 2018-05-15 2022-06-24 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN110527989A (zh) * 2018-06-08 2019-12-03 北京北方华创微电子装备有限公司 用于热处理设备的冷却装置及热处理设备
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
CN110854010B (zh) * 2018-08-20 2022-07-22 北京北方华创微电子装备有限公司 冷却晶圆的方法、装置和半导体处理设备
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11705358B2 (en) * 2018-10-29 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for automated processing ports
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7093318B2 (ja) * 2019-02-18 2022-06-29 台湾大福高科技設備股▲分▼有限公司 物品保管設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN116157902A (zh) * 2020-09-30 2023-05-23 株式会社国际电气 基板处理装置、温度控制程序、半导体器件的制造方法以及温度控制方法
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960012876B1 (ko) * 1988-06-16 1996-09-25 도오교오 에레구토론 사가미 가부시끼가이샤 열처리 장치
JP2931641B2 (ja) * 1990-07-06 1999-08-09 東京エレクトロン株式会社 熱処理装置
JP3177722B2 (ja) * 1993-06-15 2001-06-18 東京エレクトロン株式会社 高速熱処理炉の温度制御装置
JPH07263369A (ja) * 1994-03-24 1995-10-13 Koyo Rindobaagu Kk 熱処理装置
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
JP4365017B2 (ja) * 2000-08-23 2009-11-18 東京エレクトロン株式会社 熱処理装置の降温レート制御方法および熱処理装置
JP4493192B2 (ja) * 2000-09-13 2010-06-30 東京エレクトロン株式会社 バッチ式熱処理装置及びその制御方法
WO2007018016A1 (ja) * 2005-08-05 2007-02-15 Hitachi Kokusai Electric Inc. 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
JP5029382B2 (ja) * 2008-01-22 2012-09-19 東京エレクトロン株式会社 処理装置及び処理方法
JP5504793B2 (ja) * 2009-09-26 2014-05-28 東京エレクトロン株式会社 熱処理装置及び冷却方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200010086A (ko) * 2018-07-20 2020-01-30 도쿄엘렉트론가부시키가이샤 열처리 장치 및 열처리 방법
US11367633B2 (en) 2018-07-20 2022-06-21 Tokyo Electron Limited Heat treatment apparatus and heat treatment method
KR20200063080A (ko) * 2018-11-27 2020-06-04 도쿄엘렉트론가부시키가이샤 열처리 장치 및 열처리 방법
KR20200142462A (ko) * 2019-06-12 2020-12-22 가부시키가이샤 코쿠사이 엘렉트릭 가열부, 온도 제어 시스템, 처리 장치 및 반도체 장치의 제조 방법
US20220307770A1 (en) * 2021-03-29 2022-09-29 Tokyo Electron Limited Heat treatment apparatus and heat treatment method
US11927394B2 (en) * 2021-03-29 2024-03-12 Tokyo Electron Limited Heat treatment apparatus and heat treatment method

Also Published As

Publication number Publication date
CN103000555A (zh) 2013-03-27
US20130065189A1 (en) 2013-03-14
TW201342473A (zh) 2013-10-16
JP2013062361A (ja) 2013-04-04

Similar Documents

Publication Publication Date Title
KR20130029009A (ko) 열처리 장치, 온도 제어 시스템, 열처리 방법, 온도 제어 방법 및 그 열처리 방법 또는 그 온도 제어 방법을 실행시키기 위한 프로그램을 기록한 기록 매체
JP3497450B2 (ja) バッチ式熱処理装置及びその制御方法
JP5762209B2 (ja) 温度制御方法、その温度制御方法を実行させるためのプログラムを記録した記録媒体、温度制御システム及び熱処理装置
TWI518296B (zh) 直立型熱處理裝置
KR100907598B1 (ko) 종형 열처리 장치 및 그 제어 방법
CN107230654B (zh) 控制装置、基板处理系统、基板处理方法以及存储介质
KR102287466B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP5766647B2 (ja) 熱処理システム、熱処理方法、及び、プログラム
US9207665B2 (en) Heat treatment apparatus and method of controlling the same
JP5642612B2 (ja) 熱処理装置および熱処理方法
KR101509286B1 (ko) 종형 열처리 장치
JP6596316B2 (ja) 熱処理システム、熱処理方法、及び、プログラム
CN115249628A (zh) 信息处理系统、温度控制方法及热处理装置
JP4514915B2 (ja) 熱処理装置、基板の熱処理方法、および処理レシピを記録した媒体
JP2005136370A (ja) 基板処理装置
US20220119951A1 (en) Substrate processing apparatus, method of processing substrate, method of manufacturing semiconductor device and recording medium
JP2023009781A (ja) 成膜方法及び熱処理装置
JP2002158217A (ja) 縦型熱処理装置及び熱処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application