TW201334115A - 介電層之摻雜 - Google Patents

介電層之摻雜 Download PDF

Info

Publication number
TW201334115A
TW201334115A TW101144523A TW101144523A TW201334115A TW 201334115 A TW201334115 A TW 201334115A TW 101144523 A TW101144523 A TW 101144523A TW 101144523 A TW101144523 A TW 101144523A TW 201334115 A TW201334115 A TW 201334115A
Authority
TW
Taiwan
Prior art keywords
nitrogen
carbon
ruthenium
containing layer
ion
Prior art date
Application number
TW101144523A
Other languages
English (en)
Inventor
Brian S Underwood
Nitin K Ingle
Abhijit Basu Mallick
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201334115A publication Critical patent/TW201334115A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

茲揭露用以於半導體基板上形成並處理可流動的含矽-碳-及-氮層之方法。矽及碳組分可來自含矽-及-碳前驅物,同時氮可來自含氮前驅物,含氮前驅物已被活化以加速氮與含矽-及-碳前驅物在較低沉積溫度下的反應。初始可流動的含矽-碳-及-氮層經離子植入以增加蝕刻耐受性、防止收縮、調整膜張力及/或調整電子特性。離子植入也可移除賦予流動性但在沉積後不再被需要的成分。已發現使用離子植入的某些處理可減少膜在暴露於大氣時的特性之演變。

Description

介電層之摻雜
此申請案主張在2011年9月19日提出,名稱為「FLOWABLE SILICON-AND-CARBON-CONTAINING LAYERS FOR SEMICONDUCTOR PROCESSING」之美國臨時專利申請案第61/536,380號之權益。此申請案也主張由Mallick等人在2011年9月9日提出,名稱為「FLOWABLE SILICON-CARBON-NITROGEN LAYERS FOR SEMICONDUCTOR PROCESSING」之美國臨時專利申請案第61/532,708號之權益。此申請案也主張由Underwood等人在2011年10月24日提出,名稱為「TREATMENTS FOR DECREASING ETCH RATES AFTER FLOWABLE DEPOSITION OF SILICON-CARBON-AND-NITROGEN-CONTAINING LAYERS」之美國臨時專利申請案第61/550,755號之權益。此申請案也主張由Underwood等人在2011年12月7日提出,名稱為「DOPING OF DIELECTRIC LAYERS」之美國臨時專利申請案第61/567,738號之權益。以上各美國臨時專利申請案為所有目的在此以全文形式併入。
本發明關於介電層之摻雜。
自從數十年前引進了半導體元件,半導體元件幾何形態之尺寸已經顯著地減小。現代半導體製造設備常規地製造具有45 nm、32 nm及28 nm的特徵尺寸之元件,且正在發展並實現新設備以製造具有甚至更小幾何形態之元件。減小的特徵尺寸致使元件上之結構特徵具有減小的寬度。元件上之間隙與溝槽的寬度限縮使得以介電材料來填充間隙更富有挑戰性。沉積之介電材料傾向在間隙完全填滿之前堵塞間隙的頂部,而在間隙中間產生孔或縫隙。
數年來,已經發展許多技術來避免使介電材料堵塞間隙的頂部,或「密封(heal)」已經形成的孔或縫隙。一種方式以可流動的材料來開始,可將液相之可流動的材料施加至自旋的基板表面(例如,SOG沉積技術)。所述可流動的材料可流入並填充非常小的基板間隙,而不會形成孔或弱縫隙(weak seam)。所述可流動的材料可含有矽、碳、氧及氫。所述可流動的材料接著經固化以移除碳及氫,從而在間隙內形成固態氧化矽。
間隙填充氧化矽的應用通常取決於氧化矽電性隔離相鄰電晶體的能力。某些製程步驟可得益於替代材料的發展,所述替代材料仍可填充狹窄間隙,但相較於矽及/或氧化矽具有較低刻速率。本申請案滿足了這些及其它需求。
茲揭露用以於半導體基板上形成並處理可流動的含矽-碳-及-氮層之方法。矽及碳組分可來自含矽-及-碳前驅物,同時氮可來自含氮前驅物,含氮前驅物已被活化以加速氮與含矽-及-碳前驅物在較低沉積溫度下的反應。初始可流動的含矽-碳-及-氮層經離子植入以增加蝕刻耐受性(etch tolerance)、防止收縮、調整膜張力及/或調整電子特性。離子植入也可移除賦予流動性但在沉積後不再被需要的成分。已發現使用離子植入的某些處理可減少膜在暴露於大氣時的特性之演變。
本發明的實施例包括於半導體基板上形成含矽-碳-及-氮層的方法。該方法包括:在基板處理區域中之半導體基板上形成剛沉積之含矽-碳-及-氮層。含矽-碳-及-氮層於沉積期間係初始可流動的。該方法更包括:離子植入剛沉積之含矽-碳-及-氮層以形成經離子植入之含矽-碳-及-氮層的後續步驟。
額外實施例與特徵係部分地揭示在以下說明中,且其部分地對於熟習此技藝之人士在參閱說明書時變得明顯,或可藉由實施本發明而瞭解。可藉由說明書中所描述之工具、組合和方法來瞭解並獲得本發明之特徵與優點。
102~110‧‧‧處理步驟
200‧‧‧處理系統
202‧‧‧FOUP
204‧‧‧機器人手臂
206‧‧‧低壓保持區
208a~208f‧‧‧處理腔室
210‧‧‧第二機器人手臂
300‧‧‧基板處理腔室
310‧‧‧遠端電漿系統
311‧‧‧氣體入口組件
312‧‧‧第一通道
313‧‧‧第二通道
320‧‧‧腔室電漿區域
321‧‧‧蓋體
324‧‧‧絕緣環
326‧‧‧通孔的長度
350‧‧‧通孔的最小直徑
351‧‧‧中空容積
353‧‧‧噴淋頭
355‧‧‧小孔
356‧‧‧通孔
370‧‧‧基板處理區域
可藉由參照本案說明書的其它部分及圖式來實現對 所揭示之實施例之特性及優點的進一步瞭解,其中在多個圖式之間使用相似的元件符號來指出類似的組件。在某些例子中,子標號與元件符號有關且伴隨著連字號,以表示多個類似組件中之一。當提及一元件符號而未載明現存的子標號時,其意指所有此等多個類似組件。
第1圖為流程圖,該流程圖繪示根據本發明的實施例於基板上形成含矽-碳-及-氮介電層之方法中的經選擇步驟。
第2圖顯示根據本發明的實施例之基板處理系統。
第3A圖顯示根據本發明的實施例之基板處理腔室。
第3B圖顯示根據本發明的實施例之氣體分配噴淋頭。
茲揭露用以於半導體基板上形成並處理可流動的含矽-碳-及-氮層之方法。矽及碳組分可來自含矽-及-碳前驅物,同時氮可來自含氮前驅物,含氮前驅物已被活化以加速氮與含矽-及-碳前驅物在較低沉積溫度下的反應。初始可流動的含矽-碳-及-氮層經離子植入以增加蝕刻耐受性(etch tolerance)、防止收縮、調整膜張力及/或調整電子特性。離子植入也可移除賦予流動性但在沉積後不再被需要的成分。已發現使用離子植入的某些處理可減少膜在暴露於大氣時的特性之演變。
可流動的剛沉積之含矽-碳-及-氮層之初始沉積物可 在氧化物或氮化物蝕刻製程中展現高蝕刻速率。已發現離子植入剛沉積之含矽-碳-及-氮層可降低蝕刻速率並提供其他益處。不欲使本案申請專利範圍受限於可能或可能不完全正確的理論機制,本案發明人假設含矽-碳-及-氮層的流動性與Si-H及C-H鍵結的濃度有關。傅立葉轉換紅外光譜儀(Fourier transform infrared spectroscopy;FTIR)已被用來確認這些鍵結的存在,同時給予這些鍵結之濃度的粗略指示。這些鍵結會與存在於空氣中的濕氣及其它氧源反應。自真空或其它無氧環境移動剛沉積之含矽-碳-及-氮層可導致氧緩慢堆積進入膜內。在將剛沉積之含矽-碳-及-氮層暴露於大氣之後的數個延遲處所獲取的FTIR光譜指出Si-O鍵結之普及率的緩慢增加,以及Si-H鍵結之濃度的同步緩慢減少。離子植入可減少氧加入經離子植入之含矽-碳-及-氮層內、降低經離子植入之含矽-碳-及-氮層的蝕刻速率、及/或提供介電層內的電性摻質。
可流動的剛沉積之含矽-碳-及-氮層之離子植入可增加經離子植入之含矽-碳-及-氮層對典型用來去除氧化矽、氮化矽及其它無碳的介電膜之多種蝕刻劑的蝕刻抗性。因此,離子植入可理想地增進蝕刻劑的濕式蝕刻率比例(wet-etch-rate-ratios;WERRs)並擴大可併入經離子植入之含矽-碳-及-氮層的製程流。在揭露的實施例中,當暴露於典型的介電質蝕刻化學物質時,經離子植入之膜可在低於或等於約15 Å/min的速率下、低於或等於約10 Å/min的速率下、低於或等於約7 Å/min的速率下、低於或等於約5 Å/min的 速率下蝕刻。舉例來說,當經離子植入之膜暴露於包括如氫氟酸(HF)、經緩衝的氧化物蝕刻、熱磷酸、SC1、SC2、食人魚處理(piranha treatment)等等的乾式或濕式介電質蝕刻時,可應用這些蝕刻速率實施例。
為了更明瞭並理解本發明,現在請參見第1圖,第1圖為顯示根據本發明的實施例於基板上形成含矽-碳-及-氮介電層之方法中的經選擇步驟的流程圖。含矽-碳-及-氮層形成102於基板上且在沉積期間為初始可流動的。流動性可為多種前驅物導入技術的結果,本文將描述這些前驅物導入技術的實例。除了矽、碳及氫之外,可流動性的成因可與膜中之氫的存在有關。氫被認為可以Si-H及/或C-H鍵結等形式存在膜內,而可有助於初始流動性,但也同時增加了剛沉積之含矽-碳-及-氮層的蝕刻速率。
在形成剛沉積之含矽-碳-及-氮層並視情況去除製程流出物之後,剛沉積之含矽-碳-及-氮層經離子植入106,以形成經離子植入之含矽-碳-及-氮層。在揭露的實施例中,經離子植入之含矽-碳-及-氮層可具有減少的層中Si-H及/或C-H鍵結濃度。在沉積之後,可能希望這些鍵結數量減少,以使層硬化,並增加層對蝕刻、老化及汙染還有其它形式的層降解之抗性。於剛沉積之含矽-碳-及-氮層的離子植入106期間,可降低Si-H及C-H鍵結的濃度(還有氫的濃度),以形成經離子植入之含矽-碳-及-氮層。
離子植入涉及以經離子化的包含摻質之物種撞擊剛沉積之矽-碳-及-氮。摻質可包含來自週期表的多個族中之元 素,例如,該元素可為來自週期表的III族、IV族或V族中之一族的元素。於本發明的實施例中,摻質元素可為硼、碳、矽或氮中之一者。離子植入可增加Si-Si、Si-C、Si-N及/或C-N鍵結的數量。在進一步的實施例中,摻質元素可為鍺、鋁、磷、鎵、砷、銦或銻之一者。
可流動的剛沉積之含矽-碳-及-氮層的離子植入可移除層中的蝕刻促進成分(etch-promoting component)、調整伸張性剛沉積之膜的應力、或調整電子活性摻質的濃度。可於完全剛沉積之含矽-碳-及-氮層上執行離子植入,或者由於某些離子植入製程有穿透深度限制,植入階段可插入時間上分離的部分沉積之間。在本發明的實施例中,當在相對開放的區塊(具有少量待填充間隙)中測量時,完全剛沉積或經離子植入之含矽-碳-及-氮層可為大於或等於約25 Å、大於或等於約100 Å、大於或等於約200 Å、大於或等於約500 Å、大於或等於約1000 Å、大於或等於約2000 Å、大於或等於約5000 Å,或大於或等於約10,000 Å。在揭露的實施例中,當分成分離的部分沉積處進行插入之離子植入時,部分剛沉積的或經處理的含矽-碳-及-氮層可介於約25 Å與約1500 Å之間、介於約25 Å與約1000 Å之間、介於約25 Å與約500 Å之間、介於約25 Å與約100Å之間、或介於約25 Å與約50 Å之間。也可分開使用本文所給定之上限或下限,以形成額外揭露的實施例。
在揭露的實施例中,可在類似的基板溫度範圍內之溫度下進行沉積及離子植入。舉例而言,基板可為約300℃ 或更低、約250℃或更低、約200℃或更低、約150℃或更低,等等。基板的溫度可為約-10℃或更高、約50℃或更高、約100℃或更高、約125℃或更高、約150℃或更高,等等。上限可與合適的下限結合以形成額外揭露的實施例。舉例而言,基板溫度可具有約-10℃至約150℃的範圍。
離子植入剛沉積之含矽-碳-及-氮層可包含將該層暴露於包含前述摻質元素的高密度電漿(HDP)。高密度電漿容許在離子化區域與基板之間施加個別的偏壓電壓,這有利於朝向基板加速摻質。在本發明的實施例中,偏壓典型地為低射頻,且可具有大於100伏特、大於200伏特、大於500伏特或大於1000伏特的偏壓振幅。可由包括氦、氮、氬等中之至少一者的氣體形成高密度電漿。一般而言,在揭露的實施例中,也可使用傳統離子植入處理,且可利用範圍自約0.5 keV至約500 keV、自約1 keV至約200 keV或自約5 keV至約50 keV的加速離子能量。於本發明的實施例中,氣體可基本上缺氧。高密度電漿可為在沉積腔室的沉積區域中原位(in-situ)產生的電感性耦合電漿(inductively-coupled plasma;ICP)。在揭露的實施例中,於離子植入期間,所施加的整體源電漿RF功率(不含偏壓功率)可為大於或等於約2000瓦、大於或等於約3000瓦或大於或等於約4000瓦。在某些實施例中施加偏壓功率,但在其他實施例中不施加偏壓功率。離子植入的持續時間可超過30秒、超過1分鐘,或超過2分鐘。基板處理區域中的壓力可在低於1毫托至數托的範圍內。
可藉由在相同的腔室或相同的系統中進行沉積和離 子植入,以在本文所述的任何離子植入技術期間避免基板在沉積與處理之間暴露於大氣條件。也可藉由使基板在賦予惰性氣體環境的傳送盒(transfer pod)中從一個系統傳送至另一個系統,來避免基板暴露於大氣條件。
在某些實施例中,沉積腔室可配備原位電漿產生系統,以在沉積腔室的基板處理區域中進行電漿離子植入。這容許基板保持在相同的基板處理區域中進行沉積及離子植入二者,使基板能避免在沉積與植入之間暴露於大氣條件。或者,基板可被傳送至相同製造系統中的離子植入單元,而不會破真空及/或被移出系統。已發現離子植入可降低或實質上去除標準乾式及濕式介電質蝕刻(包括例如HF、熱磷酸、SC1、SC2及食人魚處理(piranha treatment))中對經處理的含矽-碳-及-氮層之蝕刻速率。因為具有此功效,離子植入不一定要穿透剛沉積之含矽-碳-及-氮層的整個深度。舉例而言,在高密度電漿系統中,可用碳作為摻質以離子植入剛沉積之含矽-碳-及-氮層。在前25奈米範圍內,所產生的經離子植入之含矽-碳-及-氮層具有漸增之碳濃度。可使用更高的偏壓電壓範圍來增加穿透深度。如本文所使用,高密度電漿製程為利用具有1011個離子/cm3或更大的數量級之離子密度的電漿CVD製程,並具有10-4或更大的數量級之離子化分率(離子/中性粒子比例)。
可視情況將經離子植入之含矽-碳-及-氮層暴露於一或多種蝕刻劑110。經離子植入之含矽-碳-及-氮層所具有的濕式蝕刻率比例(wet-etch-rate-ratio;WERR)可低於初始沉積之 可流動的含矽-碳-及-氮層所具有的濕式蝕刻率比例。WERR可定義為相較於形成在相同基板上的熱生長氧化矽層之蝕刻速率,含矽-碳-及-氮層在特定蝕刻劑(如,稀釋的HF、熱磷酸)中的相對蝕刻速率(如,Å/min)。WERR為1.0意味著所討論的層具有與熱氧化物層相同的蝕刻速率,而WERR大於1意味著該層在比熱氧化物更快的速率下蝕刻。在揭露的實施例中,離子植入使得所沉積的含矽-碳-及-氮層對蝕刻更具抗性,因而降低該含矽-碳-及-氮層的WERR。
對用於氧化矽及氮化矽二者的濕式蝕刻劑,經離子植入之含矽-碳-及-氮層可具有增加的蝕刻抗性(即,較低的WERR值)。舉例而言,含矽-碳-及-氮層的離子植入可降低對稀釋的氫氟酸(dilute hydrofluoric acid;DHF)之WERR等級,DHF為習用於氧化矽膜的濕式蝕刻劑;且含矽-碳-及-氮層的離子植入也可降低對熱磷酸的WERR等級,熱磷酸為習用於氮化矽膜的濕式蝕刻劑。因此,經離子植入之含矽-碳-及-氮層可針對蝕刻製程(包括氧化物蝕刻步驟及氮化物蝕刻步驟二者)產生良好的阻擋及/或蝕刻終止層。對習用的氧化物蝕刻劑及氮化物蝕刻劑二者之增加的蝕刻抗性,可容許這些含矽-碳-及-氮層在將基板暴露於這兩種類型的蝕刻劑之例行製程期間保持完整。相較於其它膜而言增加蝕刻選擇性的結果可增加製程程序的彈性。相較於氧化矽膜,經離子植入之含矽-碳-及-氮層也可對經緩衝的氧化物蝕刻(buffered oxide etch;BOE)具有較佳的蝕刻抗性。
在離子植入後所獲取的FTIR光譜指出了2250 cm-1 附近的Si-H尖峰之減少。透過離子植入很可能減少了存在於膜中的氫。在本發明的實施例中,咸信膜中之氫的減少能使一旦暴露於標準氧化矽及氮化矽蝕刻化學物質的蝕刻速率降低或實質上為零。在FTIR光譜中介於800 cm-1與1200-1 cm之間的微細結構(fine structure)的減少也與蝕刻速率的降低有關聯。已發現此頻帶中的多個較銳利尖峰轉變成一或二個較寬尖峰,且可代表矽、碳及氮之間的取代鍵結(replacement bond)如矽-氫鍵結一般被消耗。
範例Si-C-N形成方法
於基板上形成含矽-碳-及-氮介電層可起因於將含矽前驅物提供至化學氣相沉積腔室,含矽前驅物在化學氣相沉積腔室中與經活化的前驅物結合(本文將描述經活化的前驅物之實例)。含矽前驅物可提供矽組分給沉積的含矽-碳-及-氮層,且也可提供碳成分。範例含矽前驅物描繪如下,且可包括二矽環丁烷(disilacyclobutane)、三矽環己烷(trisilacyclohexane)、3-甲基矽烷(3-methylsilane)、矽環戊烯(silacyclopentene)、矽環丁烷(silacyclobutane)、1,3,5-三矽戊烷(1,3,5-trisilapentane)、及三甲基矽烷基乙炔(trimethylsilylacetylene)等等:
R=SiR’3、H、CR3、NR’2、NR2
R’=H、CR3、SiR’3、NR2、NR’2
額外的範例含矽前驅物可包括單-矽烷、二-矽烷、三-矽烷、四-矽烷及五-矽烷,其中一或多個中央矽原子被氫及/或飽和烷基團及/或不飽和烷基團所圍繞。這些前驅物的實例可包括SiR4、Si2R6、Si3R8、Si4R10及Si5R12,其中各個R基團個別為氫(-H)或飽和烷基團或不飽和烷基團。這些前驅物的具體實例可包括但不限於以下結構:
更多範例含矽前驅物可包括具有化學式R3Si-[CR2]x-SiR3的二矽烷基烷類(disilylalkane),其中各個R個別為氫(-H)、烷基團(如,-CH3、-CmH2m+2,其中m為自1至10的數字)、不飽和烷基團(如,-CH=CH2),且其中x為自0至10的數字。範例矽前驅物也可包括具有化學式R3Si-[CR2]x-SiR2-[CR2]y-SiR3的三矽烷類,其中各個R個別為氫(-H)、烷基團(如,-CH3、-CmH2m+2,其中m為自1至10的數字)、不飽和烷基團(如,-CH=CH2),且其中x及y個別為自0至10的數字。範例含矽前驅物可進一步包括R3Si-[CH2]n-[SiR3]m-[CH2]n-SiR3形式的矽烷基烷類(silylalkane)及矽烷基烯類(silylalkene),其中n及m可個別為 自1至10的整數,且各個R基團個別為氫(-H)、甲基(-CH3)、乙基(-CH2CH3)、乙烯(-CHCH2)、丙基(-CH2CH2CH3)、異丙基(-CHCH3CH3)等等。
範例含矽前驅物可進一步包括聚矽烷基烷(polysilylalkane)化合物,也可包括具有複數個矽原子的化合物(選自具有化學式R-[(CR2)x-(SiR2)y-(CR2)z]n-R的化合物),其中各個R個別為氫(-H)、烷基團(如,-CH3、-CmH2m+2,其中m為自1至10的數字)、不飽和烷基團(如,-CH=CH2),或矽烷基團(如,-SiH3、-(Si2H2)m-SiH3,其中m為自1至10的數字)),且其中x、y及z個別為0至10的數字,且n為0至10的數字。在揭露的實施例中,x、y及z個別為介於1與10之間的整數(包含1及10)。於本發明的實施例中,x可相等於z,且於某些實施例中,不論x及z是否相等,y可等於1。在某些實施例中,n可為1。
舉例而言,當兩個R基團皆為-SiH3時,化合物將包括具有化學式H3Si-[(CH2)x-(SiH2)y-(CH2)z]n-SiH3的聚矽烷基烷。含矽化合物也可包括具有化學式R-[(CR’2)x-(SiR”2)y-(CR’2)z]n-R的化合物,其中各個R、R’及R”可個別為氫(-H)、烷基團(如,-CH3、-CmH2m+2,其中m為自1至10的數字)、不飽和烷基團(如,-CH=CH2)、矽烷基團(如,-SiH3、-(Si2H2)m-SiH3,其中m為自1至10的數字),且其中x、y及z個別為自0至10的數字,且n為自0至10的數字。在某些例子中,R’及/或R”基團中之一或多者可具有化學式-[(CH2)x-(SiH2)y-(CH2)z]n-R''',其中R'''為氫(-H)、 烷基團(如,-CH3、-CmH2m+2,其中m為自1至10的數字)、不飽和烷基團(如,-CH=CH2)或矽烷基團(如,-SiH3、-(Si2H2)m-SiH3,其中m為自1至10的數字)),且其中x、y及z個別為自0至10的數字,且n為自0至10的數字。
更多的範例含矽前驅物可包括矽烷基烷類(silylalkane)及矽烷基烯類(silylalkene),如R3Si-[CH2]n-SiR3,其中n可為自1至10的整數,且各個R基團可個別為氫(-H)、甲基(-CH3)、乙基(-CH2CH3)、乙烯(-CHCH2)、丙基(-CH2CH2CH3)、異丙基(-CHCH3CH3)等等。範例含矽前驅物也可包括矽環丙烷、矽環丁烷、矽環戊烷、矽環已烷、矽環庚烷、矽環辛烷、矽環壬烷、矽環丙烯、矽環丁烯、矽環戊烯、矽環己烯、矽環庚烯、矽環辛烯、矽環壬烯等等。這些前驅物的具體實例可包括但不限於以下結構:
範例含矽前驅物可進一步包括一或多個矽烷基團,該一或多個矽烷基團鍵結至中央碳原子或中央碳部分。這些範例前驅物可包括化學式H4-x-yCXy(SiR3)x的化合物,其中x為1、2、3或4,y為0、1、2或3,各個X可個別為氫或鹵素(如,F、Cl、Br),且各個R可個別為氫(-H)或烷基團。範例前驅物可進一步包括中央碳部分為C2至C6飽和或不飽和烷基團的化合物,如(SiR3)xC=C(SiR3)x,其中x為1或2,且各個R可個別為氫(-H)或烷基團。這些前驅物的具體實例可 包括但不限於以下結構:
R=H或CH3
n=0、1、2
X=H、Cl、Br、F、I 其中X可為氫或鹵素(如,F、Cl、Br)。
含矽前驅物也可包括氮部分(nitrogen moieties)。舉例而言,前驅物可包括經取代或未經取代的Si-N及N-Si-N部分。舉例而言,前驅物可包括鍵結至一或多個氮部分的中央Si原子,所述前驅物可由化學式R4-xSi(NR2)x表示,其中x可為1、2、3或4,且各個R可個別為氫(-H)或烷基團。額外的前驅物可包括鍵結至一或多個含Si部分的中央N原子,所述前驅物可由化學式R4-yN(SiR3)y表示,其中y可為1、2或 3,且各個R可個別為氫(-H)或烷基團。進一步的實例可包括環狀化合物,所述環狀化合物具有併入環結構中的Si-N及Si-N-Si基團。舉例而言,環結構可具有三個(如,環丙基)、四個(如,環丁基)、五個(如,環戊基)、六個(如,環己基)、七個(如,環庚基)、八個(如,環辛基)、九個(如,環壬基)或更多個矽原子及氮原子。環中的各原子可鍵結至一或多個外懸部分(pendant moiety),如氫(-H)、烷基團(如,-CH3)、矽烷(如,-SiR3)、胺(-NR2)等等基團。這些前驅物的具體實例可包括但不限於以下結構:
在期望以低(或無)氧濃度形成含矽-碳-及-氮層的實施例中,可選擇矽-前驅物為不含有氧部分的無氧前驅物。在這些例子中,可能不會將諸如四乙基矽酸鹽(tetraethyl orthosilicate;TEOS)或四甲基矽酸鹽(tetramethyl orthosilicate;TMOS)等習用的矽CVD前驅物用作含矽前驅物。
額外的實施例也可包括使用無碳之矽來源,如矽烷(SiH4)及矽烷基胺(silyl-amine)(如,N(SiH3)3)等等。碳的來源可因而來自單獨的前驅物,所述單獨的前驅物可個別或與含矽前驅物混合提供至沉積腔室。範例含碳前驅物可包括有機矽烷前驅物及碳氫化合物(如,甲烷、乙烷等等)。在某些例子中,含矽-及-碳前驅物可與無碳的矽前驅物結合,以調整沉積膜中的矽-對-碳比例。
一般而言,於沉積期間,氧可存在或可不存在腔室中。在沉積膜中存在的氧通常會降低膜的可流動性。然而,本文所述的某些前驅物可在腔室內自含矽-及-氧前驅物有效率地合成。只要氧不會妨礙膜提供所需可流動性,可容忍於前驅物中或於膜內有氧存在。因此,含矽前驅物可進一步含有氧及。如本文所述,含矽前驅物可以或可不在腔室中反應來形成含矽-及-碳前驅物。氧可存在於前驅物中,且可以或可不在沉積於膜表面上之前去除氧。在本發明的實施例中,範例含氧的含矽前驅物可含有甲氧基、乙氧基、醚(ether)、羰基、羥基,或其它Si-O、N-O或C-O官能基團。
除了含矽前驅物之外,含氮電漿流出物也被加入沉 積腔室。含氮電漿流出物貢獻沉積之含矽-碳-及-氮層中的部分或所有氮組分。藉由將含氮前驅物(如,氨(NH3)、聯氨(N2H4)、胺類、NO、N2O及NO2等等)流入遠端電漿區域,可生成含氮電漿流出物。含氮前驅物可伴隨著一或多種額外氣體,如氫(H2)、氮(N2)、氦、氖、氬等等。氮-前驅物也可含有碳,以提供沉積的含矽-碳-及-氮層中之至少部分碳組分。也含碳的範例氮-前驅物包括烷基胺類(alkyl amine)。在某些例子中,額外的氣體也可由電漿至少部份地解離及/或離子化,而在其他例子中,額外的氣體可作為稀釋劑/載氣。
可藉由定位在沉積腔室外側的遠端電漿系統(remote plasma system;RPS)中所形成的電漿來產生含氮電漿流出物。可將含氮前驅物暴露於遠端電漿,含氮前驅物在遠端電漿處解離、自由基化及/或轉變成含氮電漿流出物。舉例而言,當含氮前驅物的來源為NH3時,含氮電漿流出物可包括.N、.NH、.NH2、氮自由基中的一或多者。接著將電漿流出物導入沉積腔室,電漿流出物在沉積腔室中首次與個別導入的含矽前驅物混合。
替代(或組合),可在沉積腔室內的電漿區域中能量化含氮前驅物。此電漿區域可與沉積區域分隔,前驅物可在沉積區域中混合並反應,以在基板的暴露表面上沉積可流動的含矽-碳-及-氮層。在這些例子中,沉積製程期間的沉積區域可被描述為「無電漿(plasma free)」。應注意到,「無電漿」並不必然意味著該區域沒有電漿。腔室電漿區域中之電漿的邊界難以界定,且可能經由例如噴淋頭中的開孔(若某個開孔 被用來輸送前驅物至沉積區域的話)侵入沉積區上方。若電感性耦合電漿被加入沉積腔室,則可能於沉積期間在沉積區域中發生少量的離子化。
一旦處於沉積腔室中,含氮電漿流出物及含矽前驅物可反應以於基板上形成初始可流動的含矽-碳-及-氮層。在含矽-碳-及-氮層的沉積期間,沉積腔室的反應區域中之溫度可能較低(如,低於100℃),且整體腔室壓力可為約0.1托至約10托(如,約0.5托至約6托等等)。溫度可部份受控於支撐基板的溫度受控制基座。可將基座熱耦合至冷卻/加熱單元,冷卻/加熱單元可將基座及基板溫度調整為,例如,約0℃至約150℃。
可流動的剛沉積之含矽-碳-及-氮層可沉積在暴露的平坦表面上,也可沉積在間隙內。沉積厚度可為約50 Å或更厚(如,約100 Å、約150 Å、約200 Å、約250 Å、約300 Å、約350 Å、約400 Å等等)。經離子植入之含矽-碳-及-氮層可為兩個或更多個可流動的剛沉積之含矽-碳-及-氮層之堆積,該兩個或更多個可流動的剛沉積之含矽-碳-及-氮層在沉積後續層之前已進行離子植入。舉例而言,含矽-碳-及-氮層可為1200 Å厚度的層,該層由4個經沉積及植入的300 Å厚度的層所構成。
初始沉積之含矽-碳-及-氮層的流動性可能取決於將含氮電漿流出物與含矽及碳前驅物混合所造成的多種特性。這些特性可包括剛沉積之含矽-碳-及-氮層中之顯著的氫成分,也包括短鏈之聚矽氮烷(polysilazane)聚合物的存在。流 動性與高基板溫度無關,因此,即使在相對低溫的基板上,初始可流動的含矽-碳-及-氮層仍可填充間隙。於本發明的實施例中,在含矽-碳-及-氮層形成期間,基板溫度可低於或等於約400℃、低於或等於約300℃、低於或等於約200℃、低於或等於約150℃或低於或等於約100℃。
當可流動的含矽-碳-及-氮層達到期望厚度時,可從沉積腔室去除製程流出物。這些製程流出物可包括任何未反應的含氮前驅物及含矽前驅物、稀釋劑及/或載氣,以及未沉積在基板上的反應產物。可藉由抽空沉積腔室及/或在沉積區域中以非沉積氣體取代流出物,來去除製程流出物。
範例沉積系統
可執行本發明的實施例之沉積腔室可包括高密度電漿化學氣相沉積(HDP-CVD)腔室、電漿增進化學氣相沉積(PECVD)腔室、次大氣壓化學氣相沉積(SACVD)腔室以及熱化學氣相沉積腔室,還有其它類型的腔室。可執行本發明的實施例之CVD系統的特定實例包括可購自加州聖大克勞拉市(Santa Clara)的Applied Material,Inc.的CENTURA ULTIMA® HDP-CVD腔室/系統,以及PRODUCER® PECVD腔室/系統。
可與本發明的範例方法一起使用之基板處理腔室的實例可包含那些在Lubomirsky等人於2006年5月30日提申且名稱為「PROCESS CHAMBER FOR DIELECTRIC GAPFILL」的共同讓渡美國臨時專利申請案第60/803,499號中所顯示及描述者,其整體內容以為一切目的參照之方式併入本文中。額外的範例系統可包括那些在美國專利第 6,387,207及6,830,624號中所顯示及描述者,其也以為一切目的參照之方式併入本文中。
沉積系統的實施例可併入用於生產積體電路晶片之較大型製造系統內。第2圖顯示根據本案所揭露之實施例的一個此類沉積系統200、烘烤及處理腔室。於此圖中,一對前開式晶圓盒(front opening unified pod,FOUP)202供應基板(例如,300 mm直徑之晶圓),基板由機器人手臂204承接,並在置入晶圓處理腔室208a至208f中之一者以前先置入低壓保持區206內。可用第二機器人手臂210以自保持區206向處理腔室208a至208f來回傳送基板晶圓。
處理腔室208a至208f可包括一或多個系統組件,用以在基板晶圓上沉積、退火、離子植入及/或蝕刻可流動的介電膜。於一種配置中,兩對處理腔室(例如,208c至208d及208e至208f)可用以在基板上沉積可流動的介電材料,且第三對處理腔室(例如,208a至208b)可用來退火經沉積的介電質。於另一種配置中,相同的兩對處理腔室(例如,208c至208d及208e至208f)可經配置以在基板上沉積並退火可流動的介電膜,而第三對腔室(例如,208a至208b)可用來進行經沉積的膜之離子植入。於再一種配置中,全部三對腔室(例如,208a至208f)可經配置而在基板上沉積並固化可流動的介電膜。於又一種配置中,兩對處理腔室(例如,208c至208d及208e至208f)可用來進行可流動的介電質之沉積及離子植入兩者,而第三對處理腔室(例如,208a至208b)可用以退火介電膜。所述製程中的任一或多者可在與不同實施例所顯示的 製造系統分離之(複數個)腔室上進行。
此外,處理腔室208a至208f中的一或多者可如濕式處理腔室般進行配置。這些處理腔室包括在含有濕氣的氣氛中加熱可流動的介電膜。因此,系統200的實施例可包括濕式處理腔室208a至208b以及退火處理腔室208c至208d,以在沉積的介電膜上進行濕式及乾式退火二者。
第3A圖為根據本文所揭露之實施例的基板處理腔室300。遠端電漿系統(RPS)310可處理氣體,氣體接著透過氣體入口組件311行進。氣體入口組件311內可見到兩個顯著不同的氣體供應通道。第一通道312可承載通過遠端電漿系統(RPS)310的氣體,而第二通道313則避開RPS 310。在揭露的實施例中,第一通道312可供製程氣體所用,且第二通道313可供處理氣體所用。所示的蓋體(或導電頂部)321以及開孔隔板353之間設有絕緣環324,使得AC電位能施加至相對於開孔隔板353的蓋體321。製程氣體行進通過第一通道312進入腔室電漿區域320,且可在腔室電漿區域320中由電漿單獨激發或與RPS 310聯合激發。於本文中,腔室電漿區域320及/或RPS 310的組合可稱為遠端電漿系統。開孔隔板(也稱為噴淋頭)353隔開腔室電漿區域320與噴淋頭353下方的基板處理區域370。噴淋頭353容許電漿存在腔室電漿區域320中,以避免直接於基板處理區域370中激發氣體,而仍可容許被激發的物種自腔室電漿區域320行進至基板處理區域370。
噴淋頭353位於腔室電漿區域320與基板處理區域 370之間,並容許腔室電漿區域320內所生成的電漿流出物(前驅物或其它氣體的激發衍生物)通過貫穿板厚度的複數個通孔356。噴淋頭353也具有一或多個中空容積351,可以蒸氣或氣體形式的前驅物(如含矽前驅物)填充中空容積351,且中空容積351通過小孔355進入基板處理區域370,而非直接進入腔室電漿區域320。於此揭露的實施例中,噴淋頭353的厚度大於通孔356的最小直徑350之長度。為了維持顯著濃度的激發物種自腔室電漿區域320穿透至基板處理區域370,可藉由形成部分通過噴淋頭353之通孔356的較大直徑部份來限縮通孔的最小直徑350之長度326。在揭露的實施例中,通孔356的最小直徑350之長度的數量級,可相等於或小於通孔356之最小直徑的數量級。
於所示的實施例中,噴淋頭353可分配(經由通孔356)含有氫及/或氮的製程氣體,及/或由腔室電漿區域320中的電漿所激發之此類製程氣體的電漿流出物。電漿流出物也可包括製程氣體的離子化或中性衍生物,且在本文中也可代表有關於導入之製程氣體的原子組分之自由基-氧前驅物及/或自由基-氮前驅物。在含矽-碳-及-氮膜的離子植入期間,製程氣體可流入基板處理區域370,且可在噴淋頭353下方而非噴淋頭353上方啟發電漿。
於多個實施例中,通孔356的數量可介於約60與約2000之間。通孔356可具有多種形狀,但最容易製作成圓形。於所揭露的實施例中,通孔356的最小直徑350可介於約0.5 mm與約20 mm之間,或介於約1 mm與約6 mm之間。還可 自由選擇通孔的截面形狀,該截面形狀可被製作成圓錐狀、圓柱狀或這兩種形狀的組合。在不同的實施例中,用以將氣體導入基板處理區域370之小孔355的數量可介於約100與約5000之間,或介於約500與約2000之間。小孔355的直徑可介於約0.1 mm與約2 mm之間。
第3B圖為根據本文所揭露之實施例的與處理腔室一起使用之噴淋頭353的底部視圖。噴淋頭353對應第3A圖所示之噴淋頭。所描繪的通孔356於噴淋頭353的底部具有較大的內徑(inner-diameter,ID),而於噴淋頭353的頂部具有較小ID。小孔355基本上平均分佈於噴淋頭表面上,甚至在通孔356周圍(相較於本文所描述的其它實施例而言,這樣可有助於提供更均勻的混合)。
當透過噴淋頭353中的通孔356抵達之電漿流出物,與源自中空容積351並透過小孔355抵達之含矽前驅物結合時,範例膜生成於基板處理區域370內之基座(未繪示)所支撐的基板上。雖然基板處理區域370也可經配備以供應諸如離子植入等其它製程所用之電漿,但在範例膜生長期間,沒有電漿存在。
可在噴淋頭353上方的腔室電漿區域320中或在噴淋頭353下方的基板處理區域370中點燃電漿。電漿存在於腔室電漿區域320中,以自含氮-及-氫氣體流入物產生自由基氮前驅物。將典型在射頻(radio frequency,RF)範圍內的AC電壓施加於處理腔室的導電頂部321與噴淋頭353之間,以於沉積期間在腔室電漿區域320中點燃電漿。RF功率供應器 產生13.56 MHz的高RF頻率,但也可單獨產生其它頻率或結合13.56 MHz頻率而產生其它頻率。射頻包括微波頻率,如2.4 GHz。在噴淋頭353下方於基板處理區域370中點燃的電漿可為高密度電漿(HDP)。在本發明的實施例中,於可流動的膜之沉積期間,頂部電漿功率可為大於或等於約1000瓦、大於或等於約2000瓦、大於或等於約3000瓦或大於或等於約4000瓦。
當於離子植入階段或於清潔構成基板處理區域370之邊界的內表面期間開啟基板處理區域370中的底部電漿時,可讓頂部電漿處於低功率或無功率狀態。可藉由在噴淋頭353與基座之間或在噴淋頭353與腔室底部之間施加AC電壓,來點燃基板處理區域370中的電漿。當電漿存在時,可將清潔氣體導入基板處理區域370。
基座可具有供熱交換流體流動的熱交換通道,以控制基板的溫度。此配置容許冷卻或加熱基板溫度,以維持相對低的溫度(自-10℃到約120℃)。熱交換流體可包含乙二醇及水。為了達到相對高溫(自約120℃到約1100℃),可使用埋入式單一迴圈埋入的加熱器元件來電阻加熱基座的晶圓支撐盤(較佳為鋁、陶瓷或以上之組合),該埋入式單一迴圈埋入的加熱器元件經配置以形成平行同心圓形式的兩個完整匝數。加熱器元件的外側部份可鄰近支撐盤的邊緣,而加熱器元件的內側部份可圍繞具有較小半徑的同心圓之路線。連接加熱器元件的線路通過基座的座腳。
基板處理系統可由系統控制器所控制。在示範實施 例中,系統控制器包括硬碟機、軟碟機及處理器。處理器含有單板電腦(single-board computer;SBC)、類比及數位輸入/輸出板、介面板及步進馬達控制板。CVD系統的各種部件符合Versa Modular European(VME)標準,該標準定義板、卡片機架(card cage)以及連接器尺寸及類型。VME標準亦定義具有16位元資料匯流排及24位元位址匯流排之匯流排結構。
系統控制器可控制沉積系統的所有活動。系統控制器可執行系統控制軟體,系統控制軟體為儲存在電腦可讀取媒體中的電腦程式。較佳地,該媒體為硬碟機,但該媒體也可為其它類型的記憶體。電腦程式包括多組指令,該等指令支配特定製程的時點、氣體之混合、腔室壓力、腔室溫度、RF功率等級、晶座位置以及其它參數。也可使用儲存於其它記憶體元件(包含如軟碟或另一適當的磁碟機)的其它電腦程式來命令系統控制器。
可使用由系統控制器所執行的電腦程式產品來實施用以於基板上沉積膜堆疊的製程(如,含矽-碳-及-氮層的依序沉積並接著離子植入該層),或實施用以清潔腔室的製程。電腦程式碼可以任何習用的電腦可讀取程式語言來撰寫:例如,68000組合語言、C、C++、Pascal、Fortran或其它程式語言。可以習用的文字編輯器將合適的程式碼輸入單一檔案或多個檔案中,並於諸如電腦的記憶體系統等電腦可使用媒體中儲存或實施。若輸入的程式碼文字係以高階語言撰寫,則編譯該程式碼,並接著將所產生的編譯器碼連結預先編譯的Microsoft Windows®常式庫(library routine)之目標碼 (object code)。為了執行連結的、編譯的目標碼,系統使用者援引目標碼,致使電腦系統載入記憶體中的程式碼。CPU接著讀取並執行程式碼以進行程式中所指示的任務。
透過平面面板觸碰感應螢幕作為使用者與控制器之間的介面。於較佳實施例中使用兩個螢幕,其中一個安裝於清潔室壁供操作員所用,而另一個安裝於壁後供服務技師所用。在一次只接受一個輸入的例子中,這兩個螢幕可同步顯示相同的資訊。為了選擇特定畫面或功能,操作員觸碰觸碰感應螢幕的指定區塊。被碰觸的區塊改變該區塊的標記顏色,或者顯示一個新的選單或畫面,以確認操作員與觸碰感應螢幕之間的溝通。其它元件,如鍵盤、滑鼠或其它指示或溝通元件可用來取代或附加至觸碰感應螢幕,以容許使用者與系統控制器溝通。
本文使用之「基板(substrate)」可為在其上有或無層形成之支撐基板。支撐基板可為各種摻雜濃度及分布之絕緣體或半導體,且可為,例如在積體電路製造中所使用之類型的半導體基板。術語「前驅物(precursor)」用來指示任何製程氣體,該等製程氣體參與自表面上移除材料或沉積材料至表面上之反應。處於「激發態(excited state)」的氣體所描述之氣體中的至少某些氣體分子處於震動激發態、游離態及/或離子化態。氣體(或前驅物)可為兩種或更多種氣體(或前驅物)之組合。「自由基前驅物(radical precursor)」用來描述電漿流出物(處於激發電漿之激發態的氣體),該電漿流出物參與自表面上移除材料或沉積材料至表面上之反應。「自由基-氮前驅物 (radical-nitrogen precursor)」為含有氮之自由基前驅物,且「自由基-氫前驅物(radical-hydrogen precursor)」為含有氫之自由基前驅物。詞彙「惰性氣體(inert gas)」指的是在蝕刻或被併入膜內時不會形成化學鍵結的任何氣體。範例惰性氣體包括鈍氣(noble gas),但也可包括其它氣體,只要當(典型地)痕量的氣體在膜中被捕捉到時不會形成化學鍵結即可。
術語「間隙(gap)」被使用於本文各處,且並非暗示被蝕刻之幾何形貌具有高水平縱橫比。自表面之上方觀看,溝槽可呈現圓形、卵形、多邊形、矩形或各種其他形狀。如本文中所使用,共形層指的是表面上之大體上一致的材料層與該表面具有相同外形,亦即,層的表面以及欲覆蓋之表面大體上為平行的。本發明所屬技術領域中具有通常知識者將可理解,所沉積的材料可能不會100%共形,且因此術語「大體上(generally)」容許可接受的誤差。
在已揭示若干實施例之後,熟習此項技術者將認識到,在不偏離本發明之精神的情況下可使用各種修改、替代構造及等效物。另外,為了避免不必要地混淆本發明,未描述若干已熟知的製程及元件。因此,上文描述不應視為限制本發明之範疇。
在提供一範圍之值之情況下,除非本文另有明確指定,應理解亦特定地揭示彼範圍之上限與下限之間的每一中間值,精確度為至下限單位的十分位。將涵蓋在陳述範圍中之任一陳述值或中間值與在彼陳述範圍中之任一其他陳述值或中間值之間的每一較小範圍。此等較小範圍之上限及下限 可獨立地包括於該範圍中或排除於該範圍之外,且在界限中任一者、沒有任一界限或兩界限皆包括於該等較小範圍中之每一範圍亦涵蓋於本發明內,其受所陳述範圍中任何特定排除之界限管轄。在所陳述範圍包括該等限制中一者或兩者之情況下,亦包括排除彼等包括之限制中一者或兩者之範圍。
在本文與隨附申請專利範圍中所使用之單數形式「一(a、an)」與「該(the)」等用語也包括複數形式,除非文字中另外清楚指明。因此,舉例而言,「一種製程(a process)」所指的製程包括複數個此類製程,而「該前驅物(the precursor)」所指的包括一或多種前驅物以及該領域技術人士所熟知的該等材料之等效例等。
同樣,此說明書與以下申請專利範圍中所用的「包含(comprise)」與「包括(include)」等用語是指存在所陳述之特徵、整體、部件或步驟,但該等用語不排除存在或增加一或多種其他特徵、整體、部件、步驟、動作或群組。
200‧‧‧處理系統
202‧‧‧FOUP
204‧‧‧機器人手臂
206‧‧‧低壓保持區
208a~208f‧‧‧處理腔室
210‧‧‧第二機器人手臂

Claims (20)

  1. 一種於一半導體基板上形成一含矽-碳-及-氮層的方法,該方法包含:於一基板處理區域中之該半導體基板上形成一剛沉積之含矽-碳-及-氮層,其中該含矽-碳-及-氮層於沉積期間係初始可流動的;以及離子植入該剛沉積之含矽-碳-及-氮層,以形成一經離子植入之含矽-碳-及-氮層。
  2. 如請求項1所述之方法,其中在包含氫氟酸或磷酸中之一者的一蝕刻溶液中,該經離子植入之含矽-碳-及-氮層以較該剛沉積之含矽-碳-及-氮層更慢的速率蝕刻。
  3. 如請求項1所述之方法,其中該剛沉積之含矽-碳-及-氮層包含Si-H鍵結。
  4. 如請求項3所述之方法,其中離子植入該剛沉積之含矽-碳-及-氮層之步驟減少該材料中之Si-H鍵結的數量。
  5. 如請求項1所述之方法,其中在該離子植入操作期間,該半導體基板的溫度為約300℃或更低。
  6. 如請求項1所述之方法,其中該經離子植入之含矽-碳-及-氮層在相對開放的區塊中之一厚度係大於或等於約25 Å。
  7. 如請求項1所述之方法,其中該經離子植入之含矽-碳-及-氮層在相對開放的區塊中之一厚度係小於或等於約50 Å。
  8. 如請求項1所述之方法,其中該經離子植入之含矽-碳-及-氮層在一熱磷酸溶液中的蝕刻速率為約15 Å/min或更低。
  9. 如請求項1所述之方法,其中該經離子植入之含矽-碳-及-氮層在一緩衝的氫氟酸氧化物蝕刻溶液中的蝕刻速率為約15 Å/min或更低。
  10. 如請求項1所述之方法,更包含以下額外的後續步驟:(1)於該經離子植入之含矽-碳-及-氮層上形成一第二可流動的剛沉積之含矽-碳-及-氮層,以及(2)離子植入該第二可流動的剛沉積之含矽-碳-及-氮層。
  11. 如請求項10所述之方法,其中該經離子植入之第二可流動的剛沉積之含矽-碳-及-氮層在相對開放的區塊中之一厚度係小於或等於約50 Å。
  12. 如請求項1所述之方法,其中離子植入該剛沉積之含矽-碳-及-氮層之步驟係於該基板處理區域中進行。
  13. 如請求項1所述之方法,其中離子植入該剛沉積之含矽- 碳-及-氮層之步驟包含:將該材料暴露於一電漿,該電漿自該半導體基板電性偏壓。
  14. 如請求項13所述之方法,其中用於離子植入該剛沉積之含矽-碳-及-氮層之該電漿為一高密度電感性耦合電漿,該電漿具有大於或等於約1011個離子/cm3之一離子密度。
  15. 如請求項13所述之方法,其中用於離子植入該剛沉積之含矽-碳-及-氮層之該電漿包含選自週期表上之III族、IV族或V族中之一族的一元素。
  16. 如請求項13所述之方法,其中該電漿包含一RF電漿,該RF電漿具有大於或等於約2000瓦之一總功率。
  17. 如請求項1所述之方法,其中形成該剛沉積之含矽-碳-及-氮層之步驟包含:將一含矽-及-碳前驅物流至一基板處理區域;將一含氮前驅物流入一遠端電漿區域,以形成電漿流出物;將該等電漿流出物流入該基板處理區域;以及於該基板處理區域中,使該含矽-及-碳前驅物與該能量化之含氮前驅物反應,以於該半導體基板上形成該剛沉積之含矽-碳-及-氮層。
  18. 如請求項17所述之方法,其中該含矽-及-碳前驅物包含二矽環丁烷(disilacyclobutane)、三矽環己烷(trisilacyclohexane)、3-甲基矽烷(3-methylsilane)、矽環戊烯(silacyclopentene)、矽環丁烯(silacyclobutene)、1,3,5-三矽戊烷(1,3,5-trisilapentane)、1,4,7-三矽庚烷(1,4,7-trisilaheptane)或三甲基矽烷基乙炔(trimethylsilylacetylene)。
  19. 如請求項17所述之方法,其中該含氮前驅物包含氨。
  20. 如請求項17所述之方法,其中該基板處理區域及該遠端電漿區域係一沉積腔室內之分區,且該基板處理區域藉由一噴淋頭與該基板處理區域分隔。
TW101144523A 2011-12-07 2012-11-28 介電層之摻雜 TW201334115A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161567738P 2011-12-07 2011-12-07
US13/590,761 US20130217243A1 (en) 2011-09-09 2012-08-21 Doping of dielectric layers

Publications (1)

Publication Number Publication Date
TW201334115A true TW201334115A (zh) 2013-08-16

Family

ID=48574767

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101144523A TW201334115A (zh) 2011-12-07 2012-11-28 介電層之摻雜

Country Status (3)

Country Link
US (1) US20130217243A1 (zh)
TW (1) TW201334115A (zh)
WO (1) WO2013085684A1 (zh)

Families Citing this family (474)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160079034A1 (en) * 2014-09-12 2016-03-17 Applied Materials Inc. Flowable film properties tuning using implantation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) * 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN108352357B (zh) * 2015-10-23 2023-02-17 应用材料公司 用于先进cmp及凹槽流的间隙填充膜改性
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
JP6787875B2 (ja) 2017-12-20 2020-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN110943031B (zh) * 2018-09-21 2022-03-04 长鑫存储技术有限公司 半导体器件的制备方法
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
CN112969818A (zh) * 2018-10-03 2021-06-15 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11615984B2 (en) 2020-04-14 2023-03-28 Applied Materials, Inc. Method of dielectric material fill and treatment
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20040018750A1 (en) * 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
DE10250889B4 (de) * 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US20040183202A1 (en) * 2003-01-31 2004-09-23 Nec Electronics Corporation Semiconductor device having copper damascene interconnection and fabricating method thereof
US6833578B1 (en) * 2003-12-11 2004-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure improving isolation between memory cell passing gate and capacitor
KR20050072332A (ko) * 2004-01-06 2005-07-11 학교법인 동서학원 피디엠에스 몰드를 이용한 초고온 초소형전자기계시스템용 실리콘 카본 나이트라이드 미세구조물제조방법
US7582555B1 (en) * 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7253125B1 (en) * 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7361930B2 (en) * 2005-03-21 2008-04-22 Agilent Technologies, Inc. Method for forming a multiple layer passivation film and a device incorporating the same
US7553758B2 (en) * 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process
US20100059889A1 (en) * 2006-12-20 2010-03-11 Nxp, B.V. Adhesion of diffusion barrier on copper-containing interconnect element
US7651959B2 (en) * 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7737052B2 (en) * 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7622369B1 (en) * 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
KR101524824B1 (ko) * 2009-01-21 2015-06-03 삼성전자주식회사 패턴 구조체 형성 방법
US9117764B2 (en) * 2010-08-27 2015-08-25 Tokyo Electron Limited Etching method, substrate processing method, pattern forming method, method for manufacturing semiconductor element, and semiconductor element
WO2012061593A2 (en) * 2010-11-03 2012-05-10 Applied Materials, Inc. Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
US20120177846A1 (en) * 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US20120292720A1 (en) * 2011-05-18 2012-11-22 Chih-Chung Chen Metal gate structure and manufacturing method thereof
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US20130217241A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors

Also Published As

Publication number Publication date
WO2013085684A1 (en) 2013-06-13
US20130217243A1 (en) 2013-08-22

Similar Documents

Publication Publication Date Title
TW201334115A (zh) 介電層之摻雜
US20130217241A1 (en) Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
US20130217240A1 (en) Flowable silicon-carbon-nitrogen layers for semiconductor processing
US9343293B2 (en) Flowable silicon—carbon—oxygen layers for semiconductor processing
US8647992B2 (en) Flowable dielectric using oxide liner
TWI535882B (zh) 使用非碳可流動cvd製程形成氧化矽的方法
TWI507560B (zh) 不具碳自由基成分之cvd膜的氧摻雜
US9404178B2 (en) Surface treatment and deposition for reduced outgassing
US20130217239A1 (en) Flowable silicon-and-carbon-containing layers for semiconductor processing
US8304351B2 (en) In-situ ozone cure for radical-component CVD
US20120083133A1 (en) Amine curing silicon-nitride-hydride films
US20120309205A1 (en) Capping layer for reduced outgassing
US20140302690A1 (en) Chemical linkers to impart improved mechanical strength to flowable films
KR20120111738A (ko) 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
JP2015521375A (ja) 流動性膜のための改善された緻密化
KR20120094490A (ko) 비­탄소 유동성 cvd 필름의 경화
KR20150053967A (ko) 저비용의 유동 가능한 유전체 필름들
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance