TW201034120A - Process for electrodeposition of copper chip to chip, chip to wafer and wafer to wafer interconnects in through-silicon vias (TSV) - Google Patents

Process for electrodeposition of copper chip to chip, chip to wafer and wafer to wafer interconnects in through-silicon vias (TSV) Download PDF

Info

Publication number
TW201034120A
TW201034120A TW098144125A TW98144125A TW201034120A TW 201034120 A TW201034120 A TW 201034120A TW 098144125 A TW098144125 A TW 098144125A TW 98144125 A TW98144125 A TW 98144125A TW 201034120 A TW201034120 A TW 201034120A
Authority
TW
Taiwan
Prior art keywords
copper
tsv
wafer
layer
substrate
Prior art date
Application number
TW098144125A
Other languages
English (en)
Inventor
Robert F Preisser
Original Assignee
Atotech Deutschland Gmbh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Atotech Deutschland Gmbh filed Critical Atotech Deutschland Gmbh
Publication of TW201034120A publication Critical patent/TW201034120A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/16Regeneration of process solutions
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/60Electroplating characterised by the structure or texture of the layers
    • C25D5/605Surface topography of the layers, e.g. rough, dendritic or nodular layers
    • C25D5/611Smooth layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/60Electroplating characterised by the structure or texture of the layers
    • C25D5/615Microstructure of the layers, e.g. mixed structure
    • C25D5/617Crystalline layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05573Single external layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16245Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Electroplating And Plating Baths Therefor (AREA)

Description

201034120 六、發明說明: 【發明所屬之技術領域】 本發明係關於一種由高純銅電解形成導體結構之方 法’更特定而言’係關於在產生諸如MEMS或半導體裝置 之裝置時在矽通孔(TSV )中由高純銅形成導體結構的方 法。該等TSV以堆疊或3D配置適用於(例如)積體電路 中,在堆疊或3D配置中,該等TSV提供裝置之各別層之 間的電連接,其中該等TSV具有相對大的直徑、相對大的 深度及高縱橫比。 【先前技術】 對於製造提供較好效能及增加之功能性之較廉價、較 小且較輕之電子產品的需要持續增長。單一晶片上之電子 裝置的數目仍快速地增加’且2D布局符合此等需要之能力 正被超越。根據產業藍圖,積體電路(ID)晶片大小將於 2曰〇1〇年為約20 nm。此類小晶片必須載運一億個以上的電 晶體,此對於下一級封裝而言將需要1〇〇,〇〇〇個以上的 I/O。因此’晶片&MEMSS計者已轉向多級互連,此已被 稱作三維(3-D)堆疊。3_D晶圓堆疊表示晶圓級封裝技術, 其中諸如邏輯、記憶體、感測器、A/D轉換器等等之特定组 件製造於獨立晶圓平台上,域著使时通孔(tsv)以提 供3-D堆叠之元件之間的電互連而整合至單一晶圓級封裝 上。因為以垂直轴互遠此装桩要 连此寺裝置,所以組件之間的電信號 路徑變得較短,此導致較彳氏客& 导衩低哥生知失、較低電力消耗及較 好系統效能。已報骞葙 裉导籍由電沉積及其他技術進行之TSV的 201034120 製造。儘管已將諸如金、多晶矽及錫-鉛(Sn-Pb )焊料之若 干導電材料用作互連材料,但銅歸因於其較高導電率及抗 電移性而為最好且最受青睞之選擇。出於在深通孔(例如, TSV)中沉積金屬之目的,電鍍為最廣泛使用的方法。 TS V已用於諸如MEMS及半導體裝置之裝置中以堆疊 或3D配置形成各別層之間的電連接’但遭受各種缺陷,該 等缺陷至少部分地因將高純銅電鍍至TSV中之極大、高縱 橫比之通孔中時經歷之困難所致。舉例而言,典型Tsv具 有在約1.5微米至約10微米之範圍内的内徑(但亦可使用 較大直徑之TSV)及在約5微米至約450微米之範圍内的 深度或更深深度(但在某些應用中,5微米至25微米或1〇〇 微米之晶圓厚度較普遍)。未來内徑預期為(例如)約i微 米》典型tsv之縱橫比(深度/寬度)可為約3:1或更大, 或縱橫比目前可為約5:1,或縱橫比可為約1〇:1且縱橫比可 高達50:1,且未來縱橫比預期通常為約1〇:1至約2〇:1。將 Q 高純度銅電沉積至該等高縱橫比TSV中之嘗試已部分地成 功,但遭受因以下因素所致之若干問題:(a)銅沉積物中之 内應力,其可導致在後續加熱後之晶圓彎曲或變形;(b) 不均一的沉積物(亦即,顆粒邊界、晶體結構缺陷等等); (Ο經電沉積銅之本體中之氣體(空隙)及/或電鍍浴液體 的夹雜物,此可導致晶圓臀曲及甚至蒸汽之爆炸性釋放; 及(d )在TSV通孔之入口及出口處的過度金屬沉積。 在此等問題中,内應力問題⑴可能為最棘手的,因 為其導致TSV形成時所穿過之矽基板的彎曲及變形且此 5 201034120 可導致整個3D配置之故障》此故障可能直至已製造整個裝 置之後方發生,從而不僅導致故障之矽基板的損失而且導 致已在發生故障時將其併入之整個裝置的損失。 【發明内容】 在本發明之各種具體實例中,本發明避免已知方法之 缺點且更特定言之最大化以高純銅進行之TSV之電沉積填 充,同時本發明最小化應力、避免諸如夾雜物及空隙之缺 陷以及先前技術TSV中已發現之其他缺陷。 本發明係關於一種在形成於矽基板(諸如在(例如) 半導艘裝置中所使用之石夕晶圓)中之梦通孔()中由高 純銅電解形成導體結構的方法。可如下概述根據本發明之 一具體實例的方法: 一種在矽基板中之通孔中電沉積高純度銅以形成矽通 孔(TSV)之方法,其包含: 提供一含有至少一通孔之矽基板,其中該通孔包括一 内表面,該内表面具有約15微米至約30微米之範圍内的 -内部寬度尺寸、約5微米至約450微米之一深度及至少 3:1之一深度:寬度縱橫比; 視情況,在該通孔之該内表面上形成一介電層; 在該介電層上形成一障壁層,或在不存在介電層之情 況下,在該通孔之該内表面上形成該障壁層,其中該障壁 層由-抑制銅擴散至該石夕基板中或向該障壁層提供抑制銅 擴散至該矽基板中之能力的材料形成或包含該材料; 在該障壁層上形成-具有足夠厚度且覆蓋該通孔之該 201034120 内表面之基礎金屬層,以獲得用於銅之後續電解沉積的足 夠導電率; 將該梦基板浸沒至該基礎金屬層作為一陰極連接之— 電解銅電鍍系統中的一電解浴中,該系統進一步包含一不 可溶解之尺寸穩定的陽極及一銅金屬源,其中該電解浴包 含一酸、一銅離子源、一亞鐵離子及/或鐵離子源及用於控 制經沉積銅之物理-機械性質的至少一添加劑;及 @ 在該不可溶解之尺寸穩定的陽極與該基礎金屬層之間 施加一電壓以使得一電流在其間流動一段時間足以電沉積 高純度銅以形成一 TSV,其中Fe+2/Fe+3氧化還原系統建立 於該浴中以提供待藉由自該銅金屬源溶解銅離子電沉積之 額外銅離子。 在一具體實例中’該施加有效於電沉積該高純度銅以 完全地填充該通孔而無抑制其在成品裝置中之功能的空隙 及缺陷。在另一具體實例中,該施加有效於電沉積該高純 ◎ 度銅以在該通孔中形成一具有足夠厚度的銅襯墊以能夠充 當一 TSV。 在一具體實例中,該經沉積高純度銅實質上無内應 力,或包括在後續處理後不導致該梦基板之彎曲的一内應 力位準。 在一具體實例中,該經沉積銅實質上無空隙及非銅夾 雜物。 在一具體實例中,該基礎金屬層係藉由一無電電鍍方 法、一物理沉積方法、一化學氣相沉積方法或一電漿增強 7 201034120 化學氣相沉積方法中 a多者而形成於該障壁層上。 、體實例中’該基礎金屬層具有約0.02 μιη至約 〇·5 μιη之範圍内的一厚度。 在具體實例中,該基礎金屬層包含銅。 在一具體實例中,該障壁層包含鈕。 在-具體實例中,該介電層(當存在時)包含二氧化 硬。在-具體實例中,該障壁層為除了充當障壁層以外亦 為介電材料之一材料。 在一具體實例中,在該電解浴中,該酸為約50 g/Ι至約 350 g/Ι之範圍内之濃度的硫酸,該銅離子源為約呂/丨至 約250 g/Ι之範圍内之濃度的五水硫酸銅該亞鐵離子及/ 或鐵離子源為約i g/1至約120g/1之範圍内之濃度的七水硫 酸亞鐵及/或九水硫酸鐵,且該至少一添加劑包含聚合含氧 化合物、有機硫化合物、硫脲化合物及聚合非那鏍 (phenazonium)化合物中的一或多者。 在一具體實例中,該電壓係在一脈衝電流或一脈衝電 壓中施加。 在一具體實例中,該電壓係藉由雙極脈衝以一反向脈 衝形式來施加。 【實施方式】 如本文所使用’如藉由標準化學/儀器分析方法所測 定,相關於根據本發明電沉積之銅的術語「高純度銅」指 代具有至少99%之純度的銅’且在一具體實例中,指代具 有至少99.5%之純度的銅,且在另一具體實例中,指代具有 201034120 至少99.9%之純度的銅,且在又一具體實例中,指代具有至 少99.99%之純度的銅。在一具體實例中,ICp_MS (感應耦 合電漿質譜分析法)用於分析銅原材料。應理解,除了本 文揭示之方法及系統以外,銅沉積物之純度主要由鋼原材 料的純度確定。 如本文所使用,術語「物理-機械性質」當應用於根據 本發明之經電沉積金屬層時指代亮度、延展性、顆粒大小、 硬度、電阻率、接觸電阻及可靠性效能中的一或多者。 TSV之形成
矽基板中具有平滑侧壁之通孔的形成為使用TSV之3D 裝置之製造中的重要步驟。如已知,需要以高蝕刻速率形 成通孔,其中通孔具有平滑側壁,其具可控制侧壁角度及 最小遮罩底切。已使用許多方法,包括濕式蝕刻、電化學 蚀刻、雷射鑽孔及深反應性離子蝕刻(DRIE),且可結合本 發明使用該等方法中之任一者。目前,看似DRIE為用於形 成具有最佳特徵之TSV的最適當方法。DRIE以高蝕刻速率 產生具有相對平滑表面之幾乎垂直的通孔。因此,在一具 體實例中,DRIE用以形成初始通孔,其十將在本發明中形 成 TSV 〇 TSV可產生於製造序列中之各時間點――在前端晶圓 製造中(在FEOL方法之前或之後),或在BE〇L之後的組 裝及封裝設施中(在接合之前或之後)。以此所見,考慮中 之整合方案可取決於何時產生通孔而劃分為先通孔 (via-first)或後通孔(via_Ust)。TSV之大小隨著通孔形 9 201034120 成之時序而略微變化,因為在該方法中之不同時間點產生 的通孔可用於不同目的或使用。以下為TSV形成之各種時 序之TSV的例示性大小。在FEOL之前的先通孔中,TSV 為相對小,直徑在約1 μιη至約5 μιη的範圍内且深度在約5 μιη至約50 μιη的範圍内,具有高縱橫比,因為此等各項可 用於較高密度互連。在FEOL之後的先通孔中,TSV典型地 略微較大,直徑為約2 μιη至約20μιη且深度為約10 μιη至 約150 μιη。在先通孔應用中,TSV之數目及密度可能極高。 在後通孔應用中,前端晶圓製造中之處理為完全的,且通 孔通常除了穿透矽基板以外亦穿透BEOL絕緣體與導體之 完整堆疊。在接合之前的後通孔中,產生最大TSV,其具 有約5 μιη至約50 μιη之直徑及約20 μιη至約400 μιη之深 度。互連密度可比先通孔應用之互連密度低,且可為(例 如)每一晶粒約一百個TSV。最後,在接合之後的後通孔 中,TSV可小於接合之前的後通孔,但仍可大於先通孔, 且可具有約2 μιη至約50 μιη之範圍内的直徑及約10 μιη至 約1 50 μιη之範圍内的深度。前述直徑及深度僅為近似的且 例示性的,且有可能在未來顯著地改變。用於接合之後的 後通孔中之TSV的密度可為每一晶粒約一百個或更高。可 自已薄化之晶圓的背側蝕刻在接合TSV之後的後通孔。 可如下表中所示概述TSV形成及填充的序列及時序: 方法步專 % 在FEOL之前的先通孔 蝕刻 填充 FEOL + BEOL 薄化添加載體 接合 在FEOL之後的先通孔 FEOL 蝕刻 填充 BEOL 接合 薄化 在接合之前的後通孔 FEOL + BEOL 蚀刻 填充 薄化添加載體 接合 在接合之後的後通孔 FEOL + BEOL 接合 薄化 蝕刻 填充 10 201034120 如下文較詳細地描述,根據本發明之一具體實例,在 此表中所不之方法序列中,「填充」步驟包括基於鐵離子使 用氧化還原系統藉由電沉積來填充TSV的方法。
藉由電沉積來填充TSV 以高純度銅進行之TSV的完全、無空隙且無夹雜物填 充為製造併有TSV之裝置中的極重要步驟。TSV中之不完 全金屬填充(例如,包括空隙形成或夾雜物形成之填充) 可導致短路且將影響整個裝置的電效能。經沉積鋼之顆粒 大小極為重要’因為顆粒粗糙度可直接影響電性質,諸如, 電阻率、抗電移性及TSv中之内應力。如本文所述’ Tsv 中之内應力在其導致該TSV形成時所穿過之晶圓或石夕基板 之f曲或變形的情況下可導致嚴重問題。應力可因(例如) 粗糙顆粒或其他缺陷所致,且所得變形或彎曲可導致整個 裝置之機械故障。因此,在高縱橫比TSV中獲得平滑、無 空隙、無夾雜物、精細顆粒金屬沉積為必需的。本發明提 供高純度銅之該等沉積物。 ® 因此’根據本發明,提供在(例如)穿過半導體基板 (晶圓)形成之矽通孔(TSV )中產生高純銅填充的方法且 可進行該方法。 根據本發明之一具體實例的方法實現在矽基板中之通 孔中電沉積高純度銅以形成矽通孔(TSV ),該方法包括以 下步驟(1)至(6)(應注意’如下文所描述,步驟(2) 為任選的): (1)提供一含有至少一通孔之矽基板,其中該通孔包 11 201034120 括一内表面’該内表面具有約15微米至約3〇微米之範圍 内的一内部寬度尺寸、約5微米至約450微米之一深度及 至少3 :1之一深度:寬度縱橫比; (2) 視情況’在該通孔之該内表面上形成一介電層; (3) 在該介電層存在時在該介電層上形成一障壁層或 在該通孔之該内表面上形成一障壁層,其中該障壁層為一 抑制銅擴散至該矽基板中的材料或包含該材料; (4) 在該障壁層上形成一具有足夠厚度且覆蓋該通孔 之該内表面之基礎金屬層,以獲得用於銅之後續電解沉積 ❹ 的足夠導電率; (5) 將該矽基板浸沒至該基礎金屬層作為一陰極連接 之一電解鋼電鍍系統中的一電解浴中,該系統進一步包含 一不可溶解之尺寸穩定的陽極及一銅金屬源,其中該電解 浴包含酸、一銅離子源、一亞鐵離子及/或鐵離子源及用於 控制經沉積銅之物理_機械性質的至少一添加劑;及 (6) 在該不可溶解之尺寸穩定的陽極與該基礎金屬層 之間施加一電壓以使得一電流在其間流動一段時間足以電 〇 沉積高純度銅以形成一 TSV,其中一 Fe+2/Fe+3氧化還原系 統建立於該浴中以提供待藉由自該銅金屬源溶解銅離^電 沉積之額外銅離子。 在一具體實例中,在方法之步驟(1)中,該矽基板且 備已形成之通孔。如上所述,該等通孔可藉由任何適當^ 法形成,且最通常藉由反應性離子蝕刻形成。在該方法 之提供矽基板之時間點,可存在已形成之數百或甚至數千 12 201034120 個通孔。當然,此取決於將在方法中之何時間點填充通孔, 且取決於將易於認識到的其他因素,諸如,基板之類型、 將使用基板之應用等等。一般而言,該通孔之内表面將由 矽基板之矽形成,或其可由產生自反應性離子蝕刻之反應 產物形成。因此,例如,在已藉由諸如氟化物之所存在鹵 素進行反應性離子蝕刻的情況下,該通孔之内表面可含有 諸如六氟化矽之鹵化矽或由諸如六氟化矽之鹵化矽組成。 類似地,在已藉由所存在氧進行反應性離子蝕刻的情況 ® 下’該通孔之内表面可含有二氧化石夕或由二氧化矽組成。 因此,在此類具體實例中,將無需在繼續進行後績方法步 驟之前形成介電層。 在一具體實例中,該障壁層係由諸如氮化矽之材料形 成,其既充當如描述之障壁又充當介電質,在該情況下無 需提供獨立介電層且可省略上文步驟當然,甚至當 障壁層係介電質或充當介電質時,仍可能需要形成獨立介 電層。在某些具體實例中,取決於形成通孔之方法,可在 適當位置處藉由介電層來形成通孔。舉例而言,當在通孔 形成步驟中存在氣體時(該氣體當與矽反應時形成介電矽 化合物),可在適當位置處藉由介電層來形成通孔。 在一具體實例中,該施加步驟有效於電沉積該高純度 銅以完全地填充該通孔。因此,在此具體實例中,進行: 該不可溶解之尺寸穩定的陽極與該基礎金屬層之間施加一 電麼使得-電流在其間流動的步驟持續足以電沉積高純度 銅以完全地填充該通孔且形成無内部空腔之tsv的時間二 13 201034120 在一具髖實例中,該施加步驟有效於電沉積該高純度 銅以在該通孔中形成一具有足夠厚度的銅襯墊以能夠充當 TSV °因此’在此具想實例中,進行在該不可溶解之尺寸穩 定的陽極與該基礎金屬層之間施加電壓以使得一電流在其 間流動的步驟僅持續足以電沉積高純度銅以用足以提供所 需導電率之高純度銅層來對通孔加襯塾的時間。在此具體 實例中,形成在通孔已藉由足夠銅電鍍之後保留任意大小 之内部空腔的TSV。 在一具體實例中,該經沉積高純度銅實質上無内應 〇 力’或包括在後續處理後不導致矽基板之彎曲的内應力位 準。該經沉積高純度銅中之内應力的存在可導致基板在後 續方法步驟期間之加熱後的彎曲或變形。通常,需要高純 度銅沉積物實質上無内應力。因為可能不能完全地避免所 有内應力之存在,所以只要内應力位準足夠低以使得在後 續處理期間不存在基板的彎曲或變形,則該應力位準為可 接受的。 在一具體實例中,該經沉積銅實質上無空隙及非銅夾 〇 雜物。極需要該經沉積高純度銅無空隙及非銅夾雜物。若 存在空隙,則在後續加熱後,空隙可導致變形或在某些情 況下可導致氣體壓力之爆炸性釋放。變形及爆炸性釋放兩 者將導致令人不滿意的情形。可能存在之任何非銅夹雜物 均將導致銅之導電率的改變,且將因此干擾TSV之功能。 出於此等原因,高純度銅沉積物不應包括任何實質量之非 銅夾雜物。 14 201034120 在一具體實例中,該基礎金屬層係藉由一無電電鍍方 法、一物理沉積方法、一化學氣相沉積方法或一電漿增強 化學氣相沉積方法中之一或多者而形成於該障壁層上。該 基礎金屬層塗覆至該通孔之表面,以便提供用於高純度銅 之電沉積的適當導電表面。因此,極需要以使得該基礎金 屬層將完全地覆蓋該通孔之内表面的方式來塗覆該基礎金 屬層。出於此原因,化學氣相沉積方法及電漿增強之化學 氣相沉積方法可比諸如濺鍍或無電電鍍方法之物理沉積方 法受青睞。然而,應理解,物理沉積方法及無電電鍍方法 兩者在適當地應用時均適合使用。 該基礎金屬層僅需要足夠厚以提供導電表面,在後續 步驟中銅可電沉積至該導電表面上。此厚度可低至幾奈 米(例如)約1 nm至約10 nm。然而,為了確保已獲得足 夠覆蓋範圍,可能需要塗覆略微較厚的基礎金屬層。因此, 在一具體實例中,該基礎金屬層具有約〇〇1微米至約〇 5 微米(約10 nm至約500 nm)之範圍内的厚度。在另一具 體實例中,該基礎金屬層具有約0·02微米至約〇25微米之 範圍内的厚度,且在另一具體實例中,該基礎金屬層具有 約〇.〇5微米至約〇 2微米之範圍内的厚度。 在一具體實例中,該基礎金屬層包含銅。在另一具艎 實例中,該基礎金屬層包含高純度銅,其中與隨後沉積之 鋼實質上相同純度的銅用以填充該通孔。該基礎金屬層可 包含除了銅以外的金屬,條件為該金屬向該通孔之内壁提 供足夠覆蓋範圍且其提供用於該經電沉積鋼之黏著的足夠 15 201034120 ϋ此’例如’在各種具體實例中,除了銅以外之 °括金、銀、鉑、鈀、鋁或過渡金屬中之任一者 =基此項技術者將顯而易見之原因,銅將為用 於該基礎金屬層中的最佳金屬。
如上所述,一障壁層形成於該通孔中。需要該障壁層 以防止該TSV之高純度銅擴散至TSV所位於之基板之石夕 中。該障壁層可由對銅擴散至基板中提供^夠障壁的任何 材料製成。該障壁層可包含防止銅原子擴散至基板中或使 障壁層整個能夠抑制該擴散的任何適當材料。舉例而言, 該障壁層可包含-或多個層’其包括諸如钽、氮化鈕鈦、 氮化鈦及/或其他適當材料之材料。因此,該障壁層為抑制 銅擴散至形成TSV之基板中的材料或包含該材料,或該障 壁層含有抑制銅之該擴散的材料或子層。在一具體實例 中’該障壁層包含钽。在一具體實例中,該障壁層可由諸 如氮化矽或碳化矽或氮碳化矽之材料形成。典型地,該障 壁層可取決於裝置及方法要求而藉由進階公認減錄沉積技 術或藉由原子層沉積(ALD )形成。 在一具體實例中,該介電層存在其包含二氧化矽。在 一具體實例中,該介電層存在且包含氮化矽。在此具體實 例中,氮化矽可藉由形成針對銅遷移之障壁及用以防止電 流洩漏之介電層兩者而提供雙重任務。在如所述之該情況 下’該障壁層可既充當障壁又充當介電質,以提供電絕緣 以及針對銅(或用以填充該通孔之其他金屬)之遷移的障 壁。 16 201034120 除了含有至少一銅離子源(較佳地為具有無機或有機 陰離子之銅鹽,(例如)硫酸銅、甲磺酸銅、焦磷酸銅、氟 棚酸銅或氨基磺酸鋼)以外,用於銅沉積之浴另外含有用 於增加該浴之導電率的至少一物質,(例如)硫酸、曱基磺 酸、焦磷酸、氤硼酸或氨基磺酸。 在一具體實例中,在該電解浴中: 酸為約50 g/i至約35〇 g/〗或約i8〇 gn至約28〇 g/1或 約100 gA至約250 g/ι或約50 g/Ι至約90 g/Ι之範圍内之浴 ® 濃度的濃縮硫酸, 銅離子源為約20 g/Ι至約250 g/Ι或約8〇 g/l至約140 g/Ι或約180 g/i至約22〇 g/1之範圍内之浴濃度的五水硫酸 銅(CuS04· 5H2〇)〇 亞鐵離子及/或鐵離子源為約1 g/1至約120 g/1或約i g/1至約20的之範圍内之浴濃度的七水硫酸亞鐵及/或九水 硫酸鐵,且
至少-添加劑包含聚合含氧化合物、有機硫化合物、 硫脲化合物或聚合非那鏢化合物中的—或多者。 如下提供關於浴及方法之另外細節。 :據本發明之電鑛浴含有用於控制銅層之物理機械性 質的至少-加成化合物。適當加成化合㈣(例如)聚人 合物、有機硫化合物、麵化合物聚合非那錄化 口物及聚合氮化合物及此等加成化合物中之 物中之任何兩者或兩者以上的混合物或組纟。" ° 適當例示性聚合含氧化合物包括以下:者中之一或多 17 201034120 者: 羧甲基纖維素 壬基苯酚-聚乙二醇醚 辛二醇-雙-(聚伸烷二醇醚) 辛醇聚伸烷二醇醚 油酸聚乙二醇酯 聚乙烯-丙二醇共聚物 聚乙二醇 聚乙二醇-二甲醚 聚氧化丙烯二醇 聚丙二醇 聚乙烯醇 硬脂酸聚乙二醇酯 硬脂醇聚乙二醇醚 冷-萘酚聚乙二醇醚。 可在電沉積浴中含有約〇·〇〇5 g/l至约20 g/l且在一具 體實例中約0.01 g/Ι至約5 g/Ι之範圍内之濃度的聚合含氧 化合物加成化合物。 具有用於提供水溶性之適當官能基的適當例示性硫化 合物包括以下各者中之一或多者·· 3-(苯并噻唑基-2-硫基)-丙磺酸鈉鹽 3 -疏基丙炫> -1 -續酸納鹽 伸乙基二硫代二丙磺酸鈉鹽 雙-(對-磺酸苯基)·二硫化二鈉鹽 18 201034120 雙-((y -確酸丁基)-二硫化二納鹽 雙-(ω -磺酸羥基丙基)-二硫化二鈉鹽 雙-((λ)-確酸丙基)-二硫化二納鹽 雙-((λ)-績酸丙基)-疏化二納鹽 甲基-((i)-確酸丙基)-二硫化二納鹽 甲基- (6ϋ-績酸丙基)-二硫化二納鹽 Ο-乙基-二硫代羧酸-S-(o -磺酸丙基)-酯鉀鹽 硫代乙醇酸 硫代填酸-Ο -乙基-雙-(-績酸丙基)-醋二納鹽 硫代磷酸-三-(ω-磺酸丙基)-酯三鈉鹽。 可在電沉積浴中含有約0.0005 g/Ι至約0.4 g/Ι且在一 具體實例中約0.001 g/Ι至約0.15 g/Ι之範圍内之濃度的水 溶性有機硫加成化合物。 適當例示性硫脲型化合物包括以下各者中之一或多 者: 硫脲 N -乙酿硫腺 N-三氟乙醯硫脲 N-乙基硫脲 N-氰基乙醯硫脲 N-烯丙基硫脲 鄰-甲苯基硫脲 Ν,Ν’-丁烯硫脲 噻唑啶硫醇 19 201034120 4-噻唑啉硫醇 °米°坐咬硫醇(Ν,Ν·-伸乙基硫脲) 4-甲基-2-嘧啶硫醇 2 -硫腺喷咬。 適當例示性非那錄化合物包括以下各者中之一或多 者: 聚(硫酸6-甲基-7-二甲胺基-5-苯基非那鏢) 聚(氣化2-甲基_7_二乙胺基_5_苯基非那鏢) 聚(硫酸2-甲基_7_二曱胺基_5•苯基非那鏢) © 聚(乙酸5-甲基_7_二曱胺基非那鏢) 聚(硫酸2-甲基_7_苯胺基-5-苯基非那錦) 聚(硫酸2-甲基_7_二甲胺基非那鏢) 聚(乙酸7·甲胺基_5·苯基非那鏢) 聚(氣化7 -乙胺基-2,5-二苯基非那鏢) 聚(氣化2,8-二甲基-7-二乙胺基·5_對甲苯基非那鏍) 聚(硫酸2,5,8-三苯基-7-二曱胺基非那錄)
聚(硫酸2,8-二甲基-7·胺基-5-笨基非那錄) Q 聚(氣化7 -二曱胺基-5-笨基非那鏢)。 適當例示性聚合含氮化合物包括以 者: 下各者中之-或多 聚伸乙基亞胺 聚伸乙基酿亞胺 聚丙烯酸醯胺 聚伸丙基亞胺 20 201034120 聚伸丁基亞胺 N-甲基聚伸乙基亞胺 N-乙醯基聚伸乙基亞胺 N-丁基聚伸乙基亞胺。 可在約0.0001 g/Ι至約0.50 gA且在一具體實例中 0.0005 g/l至約〇.〇4 g/l之範圍内的濃度下使用硫脲型化合 物、聚合非那鏢化合物及聚合含氮化合物作為加成化合物。 ❹
G 如上所述,為了達成效應,根據本發明,當使用所主 張之方法時,浴中含有Fe(II)及/或Fe(III)化合物。適當鐵 鹽為七水硫酸亞鐵(II)及九水硫酸鐵(111)兩者,在較短操作 時間之後由其中任一者或兩者形成有效Fe2+/Fe3+ (Fe(II)/Fe(III))氧化還原系統。此等鹽主要適合於含水酸性 銅浴。亦可使用其他水溶性鐵鹽,(例如)高氣酸鐵。(幾 乎)不含有配位劑(complex f〇rmer)之鹽為有利的。該等 配位劑可能為生物不可降解的或僅可能在一定困難下為可 降解的’因此當處置沖洗水時’該等鹽可能產生問題(例 如,鐵錢礬)。若可能,則不應使用在銅沉積溶液之情況下 導致不良次級反應之具有陰離子的鐵化合物(諸如,氣化 物或硝酸鹽)。因此,鐵離子之羧酸鹽(諸如,乙酸鹽、丙 酸鹽及笨甲酸鹽)以及六氟石夕酸鹽亦為有利的。舉例而言, 美2國專利第5,976,341號及第M99,川號中揭示使用 FVFe+氧化還原系統之適當系統,關於此系統的額外細節 可參考該等專利。本文以引 5丨用之方式併入關於使用Fe2+/Fe3+ 還原系統之此等美國專利之兩者的揭示内容。 21 201034120 鐵離子物質之濃度可如下,。在—具趙實财,在約^ 至約120g/l且在一具體實例令約2〇g/1至約8〇^之範圍 内的漠度下以硫酸亞鐵(II) (FeS〇4 · 7H2G)添加鐵離子。在 -具想實例中’製備該浴以初始地含有約1 g/i至約%⑼ 之亞鐵離子(以㈣Fe、量計,以(例如)七水硫酸亞 鐵添加)及約1 g/l至約30 g/1之鐵離子,在—具體實例中
約2 g/i至約iO g/1之鐵離子及在另—具體實例中約3 至約5 g/l之鐵離子(以實際以3 +含量計,以(例如)九水 硫酸鐵添加)。在一具體實例中,製備該浴以初始地含有約 2 g/l至約20 g/l之亞鐵離子(以實際以2+含量計,以(例 如)七水硫酸亞鐵添加)及4 g/l至約20 g/l之鐵離子(以 實際Fe3 +含量計,以(例如)九水硫酸鐵添加)。在一具體 實例中,製備該浴以初始地含有約3 g/i至約1 〇 之亞鐵 離子(以實際Fe2+含量計,以(例如)七水硫酸亞鐵添 及5 gA至約20 g/l之鐵離子(以實際Fe3 +含量計,以(例 如)九水硫酸鐵添加)。將認識到,因為在氧化還原系統中 ❹ 存在亞鐵離子與鐵離子之連續循環,所以兩種離子的實際 濃度可不同於初始濃度。 因為當使用不可溶解之陽極時在自沉積溶液之沉積期 間所消耗的銅離子不能藉由溶解而直接地由陽極供應,所 以藉由化學溶解對應銅部分或含銅之成形本體來補充此等 銅離子。在該氧化還原系統中,藉由沉積溶液中所含有之 Fe(III)化合物的氧化效應而在氧還反應中由銅部分或成形 本體形成銅離子,其中如上文所描述,藉由經氧化以在電 22 201034120 鍍浴中形成Cu(II)離子的銅金屬來將Fe(III)離子還原至 Fe(II)離子。藉助於銅離子之此形成,將沉積溶液中所含有 之銅離子的總濃度保持相對恆定,且陽極保持同一均一大 小。沉積溶液自銅離子產生器再次傳遞返回至與晶圓及陽 極接觸之電解腔室中。將認識到,發生以下反應: 在陽極處:
Fe2+ — Fe3+ + e-在銅源處: ❹ ❹
Cu0 + 2 Fe3、Cu2+ + 2 Fe2+ 在陰極處(例如,在半導體基板處):
Cu2+ + 2 e· — CuG (主要反應)
Fe3+ + e' — Fe2+ (次要反應) 因此,該系統可藉由亞鐵離子源或鐵離子源中之任一 者或兩者而初始化,因為#該方法進料氧歧應互變此 等離子H體實例中’ #由亞鐵離子源及鐵離子源兩 者來初始化該系統。由於此方法,可極易將沉積溶液中之 銅離子的濃度保㈣定,此有助於維軸沉積物之均一性。 在-具體實例中,該電沉積浴實質上無添加之氣化物 j如氣化鈉或氫氣酸氣化物已用於類似電鍍浴中, ::據本發明之此具趙實例,省去氣化物。如本文所使用, :自浴:去-可能的浴組份時或當浴被稱作「無」一組份 時’此意謂該組份夫古奋认、* l 作為雜< 浴。少量該等組份可能 作為雜質存在,但其並非有意地添加。 針對本發明之雷组办j i 電解銅 >儿積,一電壓施加於半導體基板 23 201034120 與陽極之間,該電壓經選擇以使得電流係每dm2 0.05安培 (A/dm )至 20 A/dm2,在一具體實例中,0.2 A/dm2 至 1〇 A/dm ’且在另一具體實例中’ 0.5 A/dm2至5 A/dm2,其中 將電流流動表示為(例如)半導體基板表面之# dm2的安 培數,假定電鑛施加至基板之整個表面。 在一具趙實例中,使用脈衝電流或脈衝電壓方法。在 脈衝電流方法中,極化為陰極與陽極之工件之間的電流趨 電性地設定且藉由適當構件每單位時間加以調變。在脈衝 電壓方法中,作為陰極之晶圓與作為陽極之反電極之間的 0 電壓恆電性地設定,且電壓每單位時間地調變以使得每單 位時間可變之電流被設定。 在一具體實例中,稱作反向脈衝方法之方法與雙極脈 衝一起使用。此等方法尤其適合,其中雙極脈衝包含持續 20毫秒至1 〇〇毫秒之一系列陰極脈衝及持續〇 3毫秒至1 〇 毫秒的陽極脈衝。在一具體實例中,將陽極脈衝之峰值電 流設定至與陰極脈衝之峰值電流至少相同的值。在一具趙 實例中’將陽極脈衝之峰值電流設定至陰極脈衝之峰值電 ❹ 流兩倍至三倍。 在一具體實例中,在脈衝電流或脈衝電壓中施加電 壓。在一具體實例中’藉由雙極脈衝以反向脈衝形式來施 加電壓。此等方法在此項技術中係熟知的,且在下文中較 詳細地描述用於本發明之某些具體實例的詳細參數。 在一具體實例中,藉由包括正向電流脈衝及反向電流 脈衝之雙極脈衝以反向脈衝形式來施加電壓。在一具體實 24 201034120 例中,反向電流脈衝之持續時間調整至約!毫秒至約20毫 衫,且在另一具體實例中,反向電流脈衝的持續時間調整 至約2毫秒至約1〇毫秒。 社具體實例t,正向電流脈衝 之持續時間調整至約10毫秒至約毫秒,且在另一具體 =例中’正向電流脈衝的持續時間調整至約2〇毫秒至約、ι〇〇 毫秒。 在一具體實例中,在工杜本 在件表面之正向電流脈衝之峰值 電流密度調整至每平方分半幼, ❹r 士 ,十万为水'約15安培(A/dm2)的最大值, 且在另-具體實例中,在工件表面之正向電流脈衝之 電流密度調整至約K5AW至約8A/dm2的最大值。在一 具體實例中,在工件表面之反向電流脈衝之峰值電流密度 調整至約60 A/dm、最大值,且在另一具體實例中在工 件表面之反向電流脈衝之峰值電流密度調整至約3〇 A顧2 至約50 A/dm2的最大值。 在一具趙實例中,第-電流脈衝相對於第二電流脈衝 ❹=約18〇。。適當持續時間之暫停可包括於第一電流脈衝 /、第-電流脈衝之間。適當持續時間之範圍可(例如)為 =毫秒至約5毫秒’且在—具體實例中為約2毫秒至約‘4 毫秒,且在-具體實例中,適當持續時間為約4毫秒。 在一具體實例中,當相較於不使用或不存在本發明之 2化還原系統之銅電沉積系統時’根據本發明的氧化還原 因有機添加劑之減少的消耗。咸信此未預期益處係 U%極處之有機添加劑之減少的氧化所致。 φ , ^ . Q ^ % 丹通貫例 甲田才目較於不使用或不存在氧化還原系统之鋼電沉積系 25 201034120 統時,根據本發明之氧化還原系統消耗將由非氧化還原系 統消耗之有機添加劑的僅約30% » 在一具體實例中’不將由銅製造之可溶解陽極用作陽 極;而使用尺寸穩定之不可溶解的陽極。藉由使用尺寸穩 疋之不可溶解的陽極’可在陽極與晶圓之間設定怪定間 隔。該等陽極就其幾何形狀而言易於適用於晶圓,且與可 溶解的陽極相反’該等陽極實質上不改變其幾何外部尺 寸。因此,可能影響晶圓之表面上之層厚度的分布之陽極 與晶圓之間的間隔保持恆定。在無該恆定間隔之情況下, ⑬ 可能導致層厚度及品質之變化,從而導致非均一的銅沉積 物。 為了產生不可溶解之陽極,使用抗電解之(惰性)材 料,諸如,不鏽鋼或鉛。可使用含有鈦或鈕作為基礎材料
之陽極,其可用貴金屬或貴金屬之氧化物塗佈。可使用鉑、 銥或釕以及此等金屬之氧化物或混合氧化物(例如)作為 陽極塗層。除了始、銥及釕外,姥、把、餓、銀及金或其 各別氧化物及混合氧化物亦可用於陽極塗層。可(例如) 在具有氧化銥表面之鈦陽極上獲得對電解條件之特別高的 抗性,該氧化銀表面以精細粒子(例%,球形本體)照射 且從而以無孔方式壓縮。在-具體實例中,可使用由貴金 屬(例如,銘、金或铑或此等金屬之合金)形成之陽極。 亦可使用其他惰性導電材料,諸如,碳(石墨)。 在-具體實例中,可針對銅沉積在水平定向上處理晶 。亦保持水平之電沉積浴㈣陽極與晶圓全然相對地安 26 201034120 置。因為使用尺寸穩定之不可溶解的電極,所以將晶圓或 半導體裝置之陽極與陰極部分之間的距離維持實質上恆 定。 根據本發明之方法尤其適合於填充通孔以在(例如) 半導體裝置、矽晶圓及MEMS裝置中之矽基板中形成TSV。 在一具體實例中,介電層在用以形成初始通孔之蝕刻 步驟期間或在該蝕刻步驟之後形成於通孔的内表面上。梦 之氧化導致二氧化碎的形成,且此介電材料可用以提供TSV ® 與周圍矽基板、晶片或晶圓的電隔離。可藉由任何適當方 法形成介電層。在另一具體實例中,(例如)藉由TEOS方 法或藉由通孔之矽側壁的氧化來形成二氧化矽層。該等介 電材料之層的適當形成方法在此項技術中係已知的且可由 熟習此項技術者根據需要選擇。 因為根據本發明將以高純度銅來填充’TSV,所以應採 取適當措施以防止銅原子擴散至安放成鄰近於TSV的矽基 φ 板中。因此,在一具體實例中,一障壁層形成於TSV之内 側壁上,以便提供針對隨後沉積之銅擴散至形成TSV時所 穿過之基板之矽中的障壁。在一具體實例中,該障壁層為 含紐材料,其能夠減少及/或消除銅經此而擴散β在一具體 實例中’為了產生銅層與矽基板之間的擴散障壁,為此(例 如)藉由濺鐘方法來形成氮化物層(例如,氮化组層)0該 等障壁材料之層的適當形成方法在此項技術中係已知的且 可由熟習此項技術者根據需要選擇。 為了允許銅電解沉積於該障壁層之介電表面上,可藉 27 201034120 由該障壁層上之適當基礎金屬層的 導電的。在-具想實例中,隨後塗覆該:::該障壁層為 成用於後續電解金屬化之導電基底。在—4層,其形 覆約0.02 至約0·3 之範圍:體實例中’塗 為基礎金屬層。在-具體實例中度的全表面層作 及/或藉由CVD方法及/或藉由PECVD =金屬沉積方法 層。此外或其他,亦可使用電鍍方法例,覆基礎金屬 n沐無也丨二^ C例如)無電極金屬
,儿積方法。舉例“,可沉積由銅形成之基礎金屬層。A 他導電層(通常為金屬層)巾可為適當的。該等導電層可 包括(例如)諸如鶴、銀、金、銘、辞、锡或任何其他金 屬之金屬或已知作為用於將銅電沉積至不導電基板上之晶 種層而使用的梦化物。 在已形成基礎金屬層之後,根據上述方法電解沉積用 於TSV之銅填科。 在一具體實例中,本發明之方法併入至半導體製造方 法中’且包括
用於蝕刻方法之微影及遮罩, U 用於產生TSV的DRIE或雷射蝕刻, 藉由氧化進行之介電隔離層的形成, 藉由物理氣相沉積、熱沉積及/或CVD進行之障壁層的 形成, 藉由諸如銅無電極方法之適當方法進行之基礎金屬層 或晶種層的形成, 如本文詳細描述之TSV的銅電沉積填充, 28 201034120 諸如CMP及清潔之適當處理,其用以完成所填充TSV 之形成。 晶圓或半導體裝置可接著使用諸如CM〇s之標準技術 處理,且隨後經受諸如以下各者之處理:薄化、微影、焊 料凸塊、切割及接著晶粒對晶粒、晶粒對晶圓、晶圓對晶 圓或藉由各種已知方法進行的其他適當3D構造。一般而 言,關於TSV之製造態樣可包括通孔形成、金屬化、晶圓 薄化、對準及接合。 以下非限制性實施例經提供以說明本發明之一具體實 例且促進對本發明的理解,但不意欲限制由隨附於本文之 申請專利範圍界定之本發明的範鳴。 實施例1 : 為了產生以向純度銅沉積物填充之TSV,晶圓具備具 有約10微米之直徑及約50微米之深度的通孔。通孔初始 地乂藉由通孔之内側壁之尚溫氧化形成之二氧化石夕的介電 層塗佈。通孔之内側壁上之介電層接著以由藉由濺鍍塗覆 之氮化鈕形成的擴散障壁層塗佈。隨後,該擴散障壁層藉 由滅鑛方法以銅基礎金屬層塗佈,其中該銅基礎金屬層具 有約〇·1微米之厚度。該晶圓接著浸沒於下文描述之銅沉積 浴中其中將該晶圓作為陰極連接且包括不可溶解之陽 極通孔藉由自具有以下成份之浴的電沉積而以高純度銅 填充以形成根據本發明之丁sv · 於水中的 ο S 2 Η t+ 量 重 以 κιν % 130 g/1 29 201034120
CuS〇4 · 5H2〇 70 g/1
FeS04 · 7H20 15 g/卜及 聚乙二醇 8 g/1。 在以下條件下電沉積高純度銅: 陰極電流密度4 A/dm2 浴之循環5 1/min (在室溫下)。 以下表中所示之參數來施加脈衝電流。 實施例2 : 使用根據本發明之上文揭示的浴且使用無添加之 Fe2+/Fe3+離子之類似浴或使用類似但具有可溶解之銅陽極 的浴而藉由不同電鍍方法沉積之TSV中的銅應力,其中以 下表中所示之參數來施加脈衝電流: 實施例 以A/dm2為單位之 Iforward/Ireverse 以毫秒為單位之 脈衝正向脈衝/ 反向脈衝 以毫秒為單位之 脈衝間隙 以度數為單位之 相移 1及2 6/40 72/4 4 180 電沉精方法 應力 可溶解之銅陽極:163.2 土 34.3 MPa (先前技術) 可溶解之銅陽極,具有Fe2+/Fe3 +氧還反應 13.4 土 40.1 MPa (先前技術) 惰性陽極,具有Cu/Cu2+/Fe2+/Fe3+氧還反應 66.9 士 9.8 MPa 30 201034120 (本發明) 在無後退火步驟之情況下,量測沉積後之内應力。量 測係經由晶圓輕曲及弯曲(雷射量測)進行。所使用設備 為 KLA-TENCOR FLX-2320 薄肢痛 1 β 力,/ 潯膜應力篁測系統,銅薄膜厚 度為1微米、晶圓厚度為750微米。 如由來自實施例2之資料清楚地展示,當根據本發明 來填充TSV時,TSV中獲得顯著較低且顯著較一致的應力 位準。
D 圖1為一包括一基板102之3D裝置1〇〇的示意性橫截 面圖,該基板102上上方安裝有兩個晶圓1〇4及1〇6(,其 包括銅填充之 TSV 108 a、l〇8b、l〇8c、110a、ll〇b 及 110c, 其中已藉由根據本發明之一具體實例之方法電沉積TSV ) 之基板102之3D100的示意性橫截面圖。該基板1〇2可為 任何適當基板,諸如,晶片、晶圓或某其他基板,其上將 附著一或多個晶片或晶圓,以形成諸如圖1中所描繪之3D 裝置100的3D裝置。如圖1中所示,該3D裝置100進一 步包括焊料凸塊 112a、112b、112c、114a、114b 及 114c, 藉此各別填充之 TSV 108a、108b、108c、110a、110b 及 110c 電互連至彼此電互連且電互連至基板丨〇2中之電線116。最 後,如圖1中所示,該3D裝置100進一步包括晶圓1〇4與 基板102之間及晶圓104與晶圓106之間的底部填料118。 應注意,圖1為3D裝置之高度示意性描繪,且為了出於清 晰及簡單解釋起見省略將存在於該3D裝置之各種元件中的 各種功能性元件,以便較清楚地描繪該3D裝置之可藉由包 31 201034120 括本發明之各種具體實例之方法形成的重要元件。 , 囷2至圖9為根據本發明之一具體實例之在一晶圓中 形成tsv及將該晶圓安裝至一基板上以形成一諸如圖i中 所示之3D裝置100的3D裝置之一部分之方法中之步驟的 示意性橫截面圖。示意性地呈現圖2至圖9中所描緣之方 法’且應理解可以如上文關於在FEOL之前或在FEOL之後 及在接合之前或在接合之後的「先通孔」或「後通孔」所 描述之適當選定的次序而進行該方法。 圖2描繪TSV將在形成時穿過之可用於(例如)半導 ◎ 體裝置中的矽基板,諸如,晶圓、晶片或其他矽基板。 在根據本發明之一具體實例之方法的下一步驟中,在 該矽基板104中形成TSV。如上文所揭示,可使用任何適 當之形成tsv的方法,且在一具體實例中,該方法為drie。 藉由自圖2引導至圖3之箭頭來指示此形成。 圖3描繪在已穿過該矽基板1〇4之大部分厚度形成tsv 120a、120b及120c之後的圖2之矽基板。應注意,為了簡 單起見’圖1至圖8中僅描繪三個TSV 12〇3至12〇c,但如❹ 上文所揭示,給定晶圓或半導體裝置可含有數百或數千個 該等TSV。應進一步注意,為了簡單起見,在圖3中及在 所有圖1及圖3至圖9中之TSV 120&至12〇c展示為具有 垂直、平行的側壁;此係出於便於說明之目的且非意欲描 繪必需情形。如已知,儘管側壁可為垂直或實質上垂直的, 但在某些具體實例中,TSV中之側壁通常自上而下(亦即, 自通孔之開口至通孔之底部)略微漸縮,以使得底部之直 32 201034120 徑略微小於通孔之頂部開口或出口的直徑。 在形成TSV 120a至120c之後,在根據本發明之一具 體實例之方法的下一步驟中於每一 TSV 12〇之側壁的整個 内表面上,視情況可沉積一介電層122,如圖4及圖4牡中 所示。歸因於圖4之縮放,圖4a、圖4b及圖4c中展示Tsv 120c及隨後塗覆之層之一部分的放大圖。如上所述,提供 該介電層122作為TSV之隨後形成之導電填料與鄰近矽基 板104之間的電絕緣層。每一 TSV 120a至120c之整個内 表面應以該介電層122覆蓋。應理解,層與基板丨〇4之相 對比例將未按比例繪製。如上所述,該介電層為任選的, 且在一適當具體實例中,(例如)當隨後形成之障壁層亦充 當介電質時可省略圖4中所說明之步驟。 接著,如圖4b中所示’在該介電層122上沉積或形成 —障壁層124。該障壁層可由諸如钽(Ta)、钽/鎢(TaW ) 或氮化鈕(TaN )或已知充當對銅遷移之障壁的其他材料的 φ 材料形成。提供該障壁層124以便防止銅遷移至鄰近矽基 板104中。該障壁層可藉由此項技術中已知之用於該層之 沉積的任何適當方法而沉積。 在形成該障壁層124後,如圖4c中所示,在根據本發 明之一具體實例之方法的下一步驟中,在對TSV 120a至 120c加襯墊之障壁層124的表面上沉積一導電基礎金屬層 或晶種層126。如上所述,該基礎金屬層126可為任何適當 導電金屬層,且在一具體實例中為銅,且在另一具體實例 中為尚純度銅。該基礎金屬層126可藉由此項技術中已知 33 201034120 之用於此類層之沉積的任何適當方法而沉積。該基礎金屬 層126提供導電表面,TSV填充金屬之電沉積可在該表面 上發生。 根據本發明之一具體實例之方法的下一步驟為藉由如 上文所描述之電沉積方法而以高純度銅來填充TSV 12〇a至 120c中之每一者,以形成經填充之TSV 108a至i〇8c,如圖 5中所描繪。根據本發明之一具體實例,使用上文所描述之 氧化還原系統來電沉積該高純度銅,以使得銅沉積於該基 礎金屬層126上’且該高純度銅完全地填充TSv而基本上 ❹ 無空隙或夾雜物。 應注意,在圖1及圏5至圖9中,儘管歸因於圖式之 縮放而未清楚地展示介電層122、障壁層124及導電層 126’但其被認為是存在的、已如上文所描述而形成,且展 示於圖4a、圖4b及圖4c中。 圖6至圖9示意性地描繪將含有經填充之Tsv l〇8a至 l〇8c之矽基板1〇4附著至基板1〇2之方法中的某些步驟。 且’此時,可進行各種沉積層自晶圓之其他表面的任何所 〇 需移除。舉例而言,銅電沉積可覆蓋晶圓之整個上表面且 可(例如)藉由化學機械拋光(CMP )而移除。可由熟習 此項技術者根據需要來選擇用於移除在進行本文所描述之 方法之過程中沉積之過量材料的適當方法。此等層及其移 除步驟未展示於圖式中’但將易於由熟習此項技術者所理 解並瞭解》 在圖6中,已薄化含有新形成之TSV 108a至l〇8c的 34 201034120 之下端或底端以實現Tsv 晶圓’因此暴露TSV l〇8a至l〇8c 108a至1敝在後續步驟中的電連接。可藉由用於薄化半導 體晶圓、晶片等等之任何已知方法來進行薄化。 ❹ 在圖7卜含有經填充之TSV跡至臟的石夕基板 104定位成在該基1G2上或鄰近於該基板。如圖所 示,該基板1G2包括類似於圖!中所示之電線的電線116。 如圖所示,在圖7中,焊珠或焊球U2a、丨丨孔及n2c已置 放於tsv i〇8a至1()8e將接觸電線116之暴露部分的位置 令。該等焊珠U2a至112e可由諸㈣—料料或用於進行 該等附著之任何其他已知焊料的任何適當材料形成且可 根據任何已知方法而沉積。 如圖8中所描繪,下一步驟為使該等Tsv 1〇8a至1〇8c 中之每一者與該等焊珠112a至U2e接觸,該等焊珠n2a 至112c又與該基板102中之電線116接觸,且從而經由該 等各別焊珠112a至112c來形成各別TSV 108a至108c與電 線丨16之間的電連接。接觸可藉由任何已知方法進行。 如圖9中所描繪’可添加底部填料丨18a以填充該矽基 板1 與該基板丨〇2之間剩餘的該空間或任何空間。在一 具體實例中,在使TSV與焊珠接觸之步驟後置放底部填 料’且在另一具體實例中’在接觸之前將該底部填料118a 塗覆至該基板。應理解,可在該等焊珠之前或之後塗覆該 底部填料118a。 應注意’在TSV係在以「後通孔」方式接合之後形成 的具體實例中’蝕刻步驟可形成穿透矽基板(圖中未示) 35 201034120 -* 之整個厚度的TSV。在某些該等情況下,晶圓已接合至之. 下層可充當蝕刻終止層。 在另一具體實例中,在價極大(亦即,具有約2〇㈣ 至約5〇 μηχ的直徑)的某些應用中,可能無需整個地填充 TSV,而代替地只要形成較厚襯墊’則銅之高導電率可足以 提供TSV所需的導電率。 圖10至圖12為根據本發明之另一具體實例之在一晶 圓中形成tsv及將該晶圓安裝至基板上以形成一 3d裝置 的一部分之方法中之步驟的示意性橫截面圖。 ❹ 圖10描繪在對應於圖4c之方法的時間點正形成TSV 的晶圓’在已形成通孔220之後,一介電層222、一障壁層 224及一基礎金屬導電層226已按照如關於圖4、圖、圖 4b及圖4c之具體實例所描述之次序沉積於側壁上,且其中 所沉積之材料及方法因此與上文已描述的材料及方法相 同。 根據本發明之此具體實例之方法的下一步驟為在晶圓 200中’藉由如上文所描述之電沉積方法而以相對厚且均一 〇 的高純銅層來對該等通孔220中之每一者加概塾但不完全 地填充該專通孔220中之每一者’以形成經加襯塾的tsv 208’如圖11中所描繪。使用上文所描述之氧化還原系統來 電沉積高純銅’以使得銅沉積於該基礎金屬層226上,且 高純銅以厚的高純銅層來完全地對TSV的内壁加襯塾。根 據本發明之一具體實例,高純度銅層自身基本上不含有空 隙或夾雜物。該層具有一厚度,其當與相對大之大小的TSv 36 201034120 ㈣時提供足㈣導電率而無需完全地填充該tsv2〇8。因 在此/、趙實例中,如目1 i _示意性地描緣該TS V應 包m的中心開σ 228’因為該通孔22〇未被完全地填 充而代替地藉由根據本發明之此具體實例的方法以均一之 厚的高純度銅層覆蓋。 在形成該TSV 208後,接著薄化該晶圓2〇〇以在圖12 中所示之階段提供晶圓。在圖12中,已薄化含有新形成之 TSV 208的晶圓,因此暴露TSV細之下端或底端以實現 © TSV 208在後續步驟中的電連接。可藉由用於薄化半導體晶 圓、晶片等等之任何已知方法來進行薄化。 應注意,在圖12中所示之具體實例中,包括該介電層 222該障壁層224、該基礎金屬層226及該高純度銅層2〇8 之層尚未自該晶圓200之上表面移除。此等層亦將存在於 參看圖2至圖9所描述之本發明的具體實例中,但在描述 此具體實例中,未具體描述移除此等層之步驟。該步驟將 已在根據本發明以高純度銅電鍍之步驟後進行,且已在圖5 中所描繪之方法中的時間點完成。在此具體實例中且在參 看圖12所描述之具體實例中,銅層可(例如)藉由 簡單地移除,或其可經圖案化以在晶圓之此表面上產生電 路。在經受圖案化之情況下,在圖案化之電路為所要的情 況下’可在以高純度鋼電鍍之步驟之前藉由光阻方法來形 成該圖案。儘管亦有可能在以高純度銅電鍍之步驟後進行 圖案形成步驟,但認為在以高純度銅電鍍之步驟之前(例 如)藉由光阻方法來形成圖案為較有效率的。 37 201034120 應注意,遍及本說明書及申請專利範圍, 所揭示範圍 與比率的數值限制可加以組合且被認為句杠私‘ 匕枯所有中間值。 因此,例如’在具體揭示1至100及10至_ 主50之範圍的情 況下,就中間整數值,認為1至10、1至π 土 、 1〇 至 及 50至100之範圍在本發明的範疇内。此外, 1所有數值岣認 為冠有修飾語「約」,無論此術語是否具體說明。 机乃。敢後,認 為所揭示元件及組件之所有可能組合均在本發明之範嘴 内,無論其是否具體提及。亦即’認為諸如「在—具體實 例中」之術語對於熟習此項技術者而言明白地揭示可將該 等具體實例與本說明書中所揭示的任何及所有其他具體實 例組合。
儘管本發明之原理已關於某些特定具體實例加以解釋 且出於說明目的而提供,但應理解本發明之各種修改對於 熟習此項技術者而言在閱讀本說明書後將變得顯而易見。 因此,應理解,本文所揭示之本發明意欲涵蓋落入隨附申 請專利範圍之範疇内的該等修改。本發明之範疇僅由隨附 申請專利範圍的範疇限制》 【圖式簡單說明】 圖1為一包括一基板之31)裝置的示意性橫截面圖,該 基板上安裝有兩個晶圓,其包括藉由根據本發明之一具體 實例之方法電沉積之銅填充的TSV。 圖2至圖9為根據本發明之一具鱧實例之在一晶圓中 形成TSV及將該晶圓安裝至一基板上以形成一諸如圖!中 所不之3D裝置的3£)裝置之一部分之方法中之步驟的示意 38 201034120 性橫截面圖。 圖10至圖12為根據本發明之另一具體實例之一在晶 圓中形成TSV及將該晶圓安裝至一基板上以形成一 3D裝 置的一部分之方法中之步驟的示意性橫截面圖。 【主要元件符號說明】 益
39

Claims (1)

  1. 201034120 « · 七、申請專利範圍: 種在發基板中之通孔中沉積高純度銅以形成梦通 孔(TSV)之方法,其包含: 提供含有至少-通孔之梦基板,其中該通孔包括内表 面’該内表面具有約h5微米至約30微米範圍内的内部寬 度尺寸、約5微米至約45〇微米之深度及至少3:1之深度 寬度縱橫比; 視情況,在該通孔之該内表面上形成介電層; 在該通孔之該内表面上形成障壁層&當存在該介電層 時在该介電層上形成障壁層,其中該障壁層抑制銅擴散至 該矽基板中; 在該障壁層上形成具有足夠厚度且覆蓋該通孔之該内 表面的基礎金屬層,以獲得用於銅之後續電解沉積的足夠 導電率; 將該矽基板浸沒至該基礎金屬層作為陰極連接之電解 銅電鍍系統中的電解浴中,該系統進一步包含不可溶解之 尺寸穩定的陽極及銅金屬源,其中該電解浴包含酸、銅離 子源、亞鐵離子及/或鐵離子源及用於控制經沉積銅之物理_ 機械性質的至少一添加劑;及 在該不可溶解之尺寸穩定的陽極與該基礎金屬層之間 施加電壓以使得電流在其間流動一段時間而足以電沉積高 純度銅以形成TSV,其中Fe+2/Fe+3氧化還原系統建立於該 浴中以提供待藉由自該銅金屬源溶解銅離子電沉積之額外 銅離子。 201034120 2. 如申請專利範圍第1項之方法,其中該施加有效於電 沉積該南純度銅以完全地填充該通孔。 3. 如申請專利範圍第1項之方法,其中該施加有效於電 沉積該高純度銅以在該通孔中形成具有足夠厚度的銅概塾 以能夠充當TSV。 4. 如申請專利範圍第w之方法,其中該經沉積高純度 銅實質上無内應力’或包括在後續處理後不導致該石夕基板 之彎曲的内應力位準。 5·如申哨專利範圍第!項之方法,其中該經沉積銅實質 上無空隙及非銅夾雜物。 6.如申請專利範圍第μ之方法,其中該基礎金屬層係 藉由無電電鍍方法、物理沉積方法、化學氣相沉積方法或 電衆增強化學氣相沉積方法中之—❹者而形成於該障壁 層上。 7·如申請專利範圍第1項之方法,其中該基礎金屬層具 有約0.02微米至約〇·5微米之範圍内的厚度。 8.如申請專利範圍第μ之方法,其中該基礎金屬層包 含銅。 9·如申請專利範圍帛1項之方法,其中該障壁層包含 1項之方法,其中該介電層包含 10 ·如申請專利範圍第 二氧化矽》 u_如申請專利範圍第 該酸為約50 g/i至約 1項之方法,其中在該電解浴中, 350 g/Ι之範圍内之濃度的硫酸, 201034120 該銅離子源為約20 g/1至約25〇 g/1之範圍内之濃度的 s 五水硫酸銅, 該亞鐵離子及/或鐵離子源為約1 g/丨至約12〇 §/1之範 圍内之濃度的七水硫酸亞鐵及/或九水硫酸鐵,且 該至少一添加劑包含聚合含氧化合物、有機硫化合 物、硫脲化合物及聚合非那鏢(phenaz〇nium)化合物中的一 或多者。 12·如申請專利範圍第丨項之方法,其中該電壓係在脈 衝電流或脈衝電麼中施加。 p 13.如申請專利範圍第12項之方法,其中該電壓係由具 有包括正向電流脈衝及反向電流脈衝之雙極脈衝的反向脈 衝形式來施加。 ,丨4.如申請專利範圍第13項之方法,其中該反向電流脈 衝之持續時間調整至約1毫秒至約2〇毫秒。 ,15.如申請專利範圍第13項之方法’其中該正向電流脈 衝之持續時間調整至約10毫秒至約200毫秒。 %如申請專利範圍帛13項之方法’其中在工件表面之❹ 該正向電流脈衝之峰值電流密度調整至約l5 A/dm2的最大 值。 17.如申請專利範圍第13項之方法,其中在工件表面之 〆反向電流脈衝之峰值電流密度調整至約60 A/dm2的最大 ’其中第一電流脈衝 18.如申請專利範圍第13項之方法 相對於第二電流脈衝移位約丨8〇。。 42
TW098144125A 2009-02-17 2009-12-22 Process for electrodeposition of copper chip to chip, chip to wafer and wafer to wafer interconnects in through-silicon vias (TSV) TW201034120A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/372,113 US20100206737A1 (en) 2009-02-17 2009-02-17 Process for electrodeposition of copper chip to chip, chip to wafer and wafer to wafer interconnects in through-silicon vias (tsv)

Publications (1)

Publication Number Publication Date
TW201034120A true TW201034120A (en) 2010-09-16

Family

ID=42126356

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098144125A TW201034120A (en) 2009-02-17 2009-12-22 Process for electrodeposition of copper chip to chip, chip to wafer and wafer to wafer interconnects in through-silicon vias (TSV)

Country Status (6)

Country Link
US (1) US20100206737A1 (zh)
EP (1) EP2399281B1 (zh)
JP (1) JP5743907B2 (zh)
CN (1) CN102318041B (zh)
TW (1) TW201034120A (zh)
WO (1) WO2010094998A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103390601A (zh) * 2012-05-07 2013-11-13 精材科技股份有限公司 晶片封装体及其形成方法
TWI502717B (zh) * 2010-09-24 2015-10-01 Intel Corp 在具有埋入晶粒之無凸塊式增層基板上使用貫矽導孔的晶粒堆疊及其形成方法

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7816181B1 (en) * 2009-06-30 2010-10-19 Sandisk Corporation Method of under-filling semiconductor die in a die stack and semiconductor device formed thereby
US9714474B2 (en) * 2010-04-06 2017-07-25 Tel Nexx, Inc. Seed layer deposition in microscale features
US20120024713A1 (en) * 2010-07-29 2012-02-02 Preisser Robert F Process for electrodeposition of copper chip to chip, chip to wafer and wafer to wafer interconnects in through-silicon vias (tsv) with heated substrate and cooled electrolyte
US20120056331A1 (en) * 2010-09-06 2012-03-08 Electronics And Telecommunications Research Institute Methods of forming semiconductor device and semiconductor devices formed by the same
JP5981455B2 (ja) * 2011-01-26 2016-08-31 エンソン インコーポレイテッド マイクロ電子工業におけるビアホール充填方法
US8970043B2 (en) 2011-02-01 2015-03-03 Maxim Integrated Products, Inc. Bonded stacked wafers and methods of electroplating bonded stacked wafers
JP5754209B2 (ja) * 2011-03-31 2015-07-29 大日本印刷株式会社 半導体装置の製造方法
US8753981B2 (en) 2011-04-22 2014-06-17 Micron Technology, Inc. Microelectronic devices with through-silicon vias and associated methods of manufacturing
EP2518187A1 (en) * 2011-04-26 2012-10-31 Atotech Deutschland GmbH Aqueous acidic bath for electrolytic deposition of copper
US8691691B2 (en) 2011-07-29 2014-04-08 International Business Machines Corporation TSV pillar as an interconnecting structure
US8894868B2 (en) 2011-10-06 2014-11-25 Electro Scientific Industries, Inc. Substrate containing aperture and methods of forming the same
CN102376641B (zh) * 2011-11-24 2013-07-10 上海华力微电子有限公司 铜填充硅通孔的制作方法
US20130140688A1 (en) * 2011-12-02 2013-06-06 Chun-Hung Chen Through Silicon Via and Method of Manufacturing the Same
CN102569251B (zh) * 2012-02-22 2014-07-02 华进半导体封装先导技术研发中心有限公司 三维封装用金属间化合物填充的垂直通孔互连结构及制备方法
US20130249047A1 (en) * 2012-03-26 2013-09-26 Nanya Technology Corporation Through silicon via structure and method for fabricating the same
CN103378057B (zh) * 2012-04-20 2016-06-29 南亚科技股份有限公司 半导体芯片以及其形成方法
CN103378059B (zh) * 2012-04-27 2016-04-27 南亚科技股份有限公司 穿硅通孔与其形成方法
CN104396009A (zh) * 2012-06-07 2015-03-04 伦塞勒工艺研究所 用以减少三维集成中硅穿孔(tsv)压力的保角涂层弹性垫的使用
CN102703938B (zh) * 2012-06-07 2015-04-22 上海交通大学 硫酸铜电镀液的应力消除剂
WO2014012381A1 (zh) * 2012-07-17 2014-01-23 上海交通大学 铜互连微柱力学性能原位压缩试样及其制备方法
CN103715132B (zh) * 2012-09-29 2017-12-01 中芯国际集成电路制造(上海)有限公司 金属互连结构的形成方法
US8933564B2 (en) * 2012-12-21 2015-01-13 Intel Corporation Landing structure for through-silicon via
CN103060859B (zh) * 2012-12-27 2015-04-22 建滔(连州)铜箔有限公司 用于改善毛箔毛面锋形的添加剂和电解铜箔生产工艺
CN103103585B (zh) * 2012-12-29 2015-09-16 上海新阳半导体材料股份有限公司 一种用于铜互连的高速凸点电镀方法
JP6142880B2 (ja) * 2013-01-15 2017-06-07 三菱瓦斯化学株式会社 シリコンエッチング液およびエッチング方法並びに微小電気機械素子
EP2754732B1 (en) * 2013-01-15 2015-03-11 ATOTECH Deutschland GmbH Aqueous composition for etching of copper and copper alloys
KR20140094061A (ko) * 2013-01-16 2014-07-30 주식회사 잉크테크 연속 도금 장치 및 연속 도금 방법
US8933562B2 (en) 2013-01-24 2015-01-13 International Business Machines Corporation In-situ thermoelectric cooling
US9470710B2 (en) 2013-02-27 2016-10-18 Texas Instruments Incorporated Capacitive MEMS sensor devices
CN103280427B (zh) * 2013-06-13 2016-08-10 华进半导体封装先导技术研发中心有限公司 一种tsv正面端部互连工艺
CN103290438B (zh) * 2013-06-25 2015-12-02 深圳市创智成功科技有限公司 用于晶圆级封装的电镀铜溶液及电镀方法
KR101750795B1 (ko) * 2013-06-27 2017-06-26 인텔 아이피 코포레이션 전자 시스템을 위한 고 전도성 고 주파수 비아
CN103361681B (zh) * 2013-08-08 2016-11-16 上海新阳半导体材料股份有限公司 能改变tsv微孔镀铜填充方式的添加剂c及包含其的电镀液
US20150069609A1 (en) * 2013-09-12 2015-03-12 International Business Machines Corporation 3d chip crackstop
KR20150057148A (ko) * 2013-11-18 2015-05-28 삼성전자주식회사 반도체 장치
CN103695973B (zh) * 2013-12-17 2016-07-06 上海交通大学 在铜互连甲基磺酸铜镀液中添加Fe2+和Fe3+的电镀方法
CN103668356B (zh) * 2013-12-17 2016-04-13 上海交通大学 在铜互连硫酸铜镀液中添加Fe2+和Fe3+的电镀方法
US9373613B2 (en) * 2013-12-31 2016-06-21 Skyworks Solutions, Inc. Amplifier voltage limiting using punch-through effect
CN104465564B (zh) * 2014-01-06 2017-09-15 昆山西钛微电子科技有限公司 晶圆级芯片tsv封装结构及其封装方法
CN103887232B (zh) * 2014-04-04 2016-08-24 华进半导体封装先导技术研发中心有限公司 改善tsv金属填充均匀性的方法
US9515035B2 (en) 2014-12-19 2016-12-06 International Business Machines Corporation Three-dimensional integrated circuit integration
US9971970B1 (en) * 2015-04-27 2018-05-15 Rigetti & Co, Inc. Microwave integrated quantum circuits with VIAS and methods for making the same
US20170145577A1 (en) * 2015-11-19 2017-05-25 Rohm And Haas Electronic Materials Llc Method of electroplating low internal stress copper deposits on thin film substrates to inhibit warping
FR3046878B1 (fr) * 2016-01-19 2018-05-18 Kobus Sas Procede de fabrication d'une interconnexion comprenant un via s'etendant au travers d'un substrat
CN107706146B (zh) * 2016-08-08 2020-07-28 中芯国际集成电路制造(上海)有限公司 半导体器件的制备方法
CN106757191B (zh) * 2016-11-23 2019-10-01 苏州昕皓新材料科技有限公司 一种具有高择优取向的铜晶体颗粒及其制备方法
US11121301B1 (en) 2017-06-19 2021-09-14 Rigetti & Co, Inc. Microwave integrated quantum circuits with cap wafers and their methods of manufacture
US10475808B2 (en) 2017-08-30 2019-11-12 Macronix International Co., Ltd. Three dimensional memory device and method for fabricating the same
CN108615704B (zh) * 2018-03-27 2020-05-08 中国科学院上海微系统与信息技术研究所 一种硅通孔互连的制作工艺、由此形成的硅通孔互连结构及其应用
CN108754555B (zh) * 2018-08-29 2020-04-28 广东天承科技有限公司 一种电镀液及其电镀方法
US10867855B2 (en) 2019-05-13 2020-12-15 Honeywell International Inc. Through silicon via fabrication
TW202118365A (zh) 2019-08-19 2021-05-01 德商德國艾托特克公司 高密度互連印刷電路板的製造順序及高密度互連印刷電路板
KR20220047360A (ko) 2019-08-19 2022-04-15 아토테크 도이칠란트 게엠베하 운트 콤파니 카게 구리로 충전된 마이크로비아들을 포함하는 고밀도 상호연결 인쇄 회로 기판을 제조하는 방법
CN110453255B (zh) * 2019-08-30 2020-10-09 广州皓悦新材料科技有限公司 一种具有高深镀能力的vcp镀铜光亮剂及其制备方法
CN111041535A (zh) * 2019-12-25 2020-04-21 浙江振有电子股份有限公司 一种连续移动式电镀通孔双面板的方法
CN111155152B (zh) * 2019-12-26 2022-11-01 西安泰金工业电化学技术有限公司 一种用于pcb水平电镀工序中降低生产成本的方法
TWI741466B (zh) 2019-12-27 2021-10-01 鉑識科技股份有限公司 利用水/醇溶性有機添加劑製備之奈米雙晶層及其製備方法
CN112018078B (zh) * 2020-07-29 2022-10-25 复旦大学 一种铜互连结构及其制作方法
CN112151504B (zh) * 2020-08-17 2022-04-29 复旦大学 一种带有封孔层的铜互连结构及其制备方法
CN113174620B (zh) * 2021-04-22 2022-05-03 浙江集迈科微电子有限公司 一种镀液流速加强型tsv金属柱的电镀方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4344387C2 (de) * 1993-12-24 1996-09-05 Atotech Deutschland Gmbh Verfahren zur elektrolytischen Abscheidung von Kupfer und Anordnung zur Durchführung des Verfahrens
DE19545231A1 (de) * 1995-11-21 1997-05-22 Atotech Deutschland Gmbh Verfahren zur elektrolytischen Abscheidung von Metallschichten
DE19653681C2 (de) * 1996-12-13 2000-04-06 Atotech Deutschland Gmbh Verfahren zur elektrolytischen Abscheidung von Kupferschichten mit gleichmäßiger Schichtdicke und guten optischen und metallphysikalischen Eigenschaften und Anwendung des Verfahrens
ATE282248T1 (de) * 1999-01-21 2004-11-15 Atotech Deutschland Gmbh Verfahren zum galvanischen bilden von leiterstrukturen aus hochreinem kupfer bei der herstellung von integrierten schaltungen
US20040045832A1 (en) * 1999-10-14 2004-03-11 Nicholas Martyak Electrolytic copper plating solutions
JP2001267726A (ja) * 2000-03-22 2001-09-28 Toyota Autom Loom Works Ltd 配線基板の電解メッキ方法及び配線基板の電解メッキ装置
JP2004119606A (ja) * 2002-09-25 2004-04-15 Canon Inc 半導体基板の貫通孔埋め込み方法および半導体基板
DE10311575B4 (de) * 2003-03-10 2007-03-22 Atotech Deutschland Gmbh Verfahren zum elektrolytischen Metallisieren von Werkstücken mit Bohrungen mit einem hohen Aspektverhältnis
US20090008792A1 (en) * 2004-11-19 2009-01-08 Industrial Technology Research Institute Three-dimensional chip-stack package and active component on a substrate
JP4456027B2 (ja) * 2005-03-25 2010-04-28 Okiセミコンダクタ株式会社 貫通導電体の製造方法
ATE484943T1 (de) * 2006-03-30 2010-10-15 Atotech Deutschland Gmbh Elektrolytisches verfahren zum füllen von löchern und vertiefungen mit metallen
KR100945504B1 (ko) * 2007-06-26 2010-03-09 주식회사 하이닉스반도체 스택 패키지 및 그의 제조 방법
US7939941B2 (en) * 2007-06-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of through via before contact processing
US7825517B2 (en) * 2007-07-16 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for packaging semiconductor dies having through-silicon vias
KR101185886B1 (ko) * 2007-07-23 2012-09-25 삼성전자주식회사 유니버설 배선 라인들을 포함하는 반도체 칩, 반도체패키지, 카드 및 시스템
TWI335059B (en) * 2007-07-31 2010-12-21 Siliconware Precision Industries Co Ltd Multi-chip stack structure having silicon channel and method for fabricating the same
TWI341554B (en) * 2007-08-02 2011-05-01 Enthone Copper metallization of through silicon via
US7902069B2 (en) * 2007-08-02 2011-03-08 International Business Machines Corporation Small area, robust silicon via structure and process
US7776741B2 (en) * 2008-08-18 2010-08-17 Novellus Systems, Inc. Process for through silicon via filing

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI502717B (zh) * 2010-09-24 2015-10-01 Intel Corp 在具有埋入晶粒之無凸塊式增層基板上使用貫矽導孔的晶粒堆疊及其形成方法
US9406618B2 (en) 2010-09-24 2016-08-02 Intel Corporation Die-stacking using through-silicon vias on bumpless build-up layer substrates including embedded-dice, and processes of forming same
CN103390601A (zh) * 2012-05-07 2013-11-13 精材科技股份有限公司 晶片封装体及其形成方法
CN103390601B (zh) * 2012-05-07 2016-09-14 精材科技股份有限公司 晶片封装体及其形成方法

Also Published As

Publication number Publication date
CN102318041B (zh) 2014-05-07
WO2010094998A1 (en) 2010-08-26
US20100206737A1 (en) 2010-08-19
EP2399281A1 (en) 2011-12-28
EP2399281B1 (en) 2016-04-20
JP2012518084A (ja) 2012-08-09
JP5743907B2 (ja) 2015-07-01
CN102318041A (zh) 2012-01-11

Similar Documents

Publication Publication Date Title
TW201034120A (en) Process for electrodeposition of copper chip to chip, chip to wafer and wafer to wafer interconnects in through-silicon vias (TSV)
TWI533404B (zh) 以加熱的基材及冷卻的電解質在矽穿孔(tsv)中之晶片至晶片、晶片至晶圓及晶圓至晶圓連接器銅電沉積之方法
US6750144B2 (en) Method for electrochemical metallization and planarization of semiconductor substrates having features of different sizes
JP5346215B2 (ja) 半導体デバイスの製造において直接銅めっきし、かつ充填して相互配線を形成するための方法及び組成物
CN108474129A (zh) 电镀硅穿孔的工艺和化学作用
CN105308723B (zh) 利用湿式晶片背面接触进行铜镀硅穿孔的方法
CN102124551A (zh) 穿硅通孔填充工艺
WO2008049019A2 (en) Copper deposition for filling features in manufacture of microelectronic devices
JP2002535494A (ja) 集積回路製造に際し高純度銅から成る導体構造を電解形成するための方法
TW201000685A (en) Electrodeposition composition and method for coating a semiconductor substrate using the said composition
TWI513863B (zh) 銅電鍍組合物及使用此組合物填充半導體基板中之凹洞之方法
CN116134182A (zh) 电镀纳米双晶和非纳米双晶铜特征
EP3034655A1 (en) Trench pattern wet chemical copper metal filling using a hard mask structure
US8524512B2 (en) Method for repairing copper diffusion barrier layers on a semiconductor solid substrate and repair kit for implementing this method
KR20130093485A (ko) 마이크로스케일 피쳐에서의 시드층 증착
US20130213816A1 (en) Incorporating High-Purity Copper Deposit As Smoothing Step After Direct On-Barrier Plating To Improve Quality Of Deposited Nucleation Metal In Microscale Features
US7429401B2 (en) Superconformal metal deposition using derivatized substrates
JP4472673B2 (ja) 銅配線の製造方法及び銅めっき用電解液
TWI314592B (en) Copper plating of semiconductor devices using intermediate immersion step
RU2510631C1 (ru) Электролит и способ осаждения меди на тонкий проводящий подслой на поверхности кремниевых пластин
TWI238461B (en) Preparation method of planar electroplated metal layer and electroplating solution therefore
Dubin 3D THROUGH-SILICON VIA FILLING WITH ELECTROCHEMICAL NANOMATERIALS