TW200835639A - Variable pitch storage shelves - Google Patents

Variable pitch storage shelves Download PDF

Info

Publication number
TW200835639A
TW200835639A TW096143954A TW96143954A TW200835639A TW 200835639 A TW200835639 A TW 200835639A TW 096143954 A TW096143954 A TW 096143954A TW 96143954 A TW96143954 A TW 96143954A TW 200835639 A TW200835639 A TW 200835639A
Authority
TW
Taiwan
Prior art keywords
container
storage
capacity
flange
support
Prior art date
Application number
TW096143954A
Other languages
English (en)
Inventor
Anthony C Bonora
Original Assignee
Asyst Technologies
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asyst Technologies filed Critical Asyst Technologies
Publication of TW200835639A publication Critical patent/TW200835639A/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G1/00Storing articles, individually or in orderly arrangement, in warehouses or magazines
    • B65G1/02Storage devices

Description

200835639 九、發明說明: 【發明所屬之技術領域】 、概括而言,本發明包含一容器儲存裝置,此裝置以有效的 式同時儲存多個不同尺寸的容器。尤其,本發明包含一儲倉, 儲倉可有效地儲存具有變化尺寸的複數前開式集中晶
(F0甬UP【: ;^nt 0pening Unified Pod^,或其他具有可機二式 J 啟通道的〇 【先前技術】 ιη ίνΛ®2各自顯示在製造設施㈣崎存容器'的習知儲倉 10二圖u頁不用以儲存多個F0UPs 2的習知儲倉1〇。習知_ γ盒外殼4,具有前開口3,献用以隔離-個以上 Π,(巧曰圓盒通道9,與前開口 3機械式地搞合;⑴ 芽巧12 ’固定F0UP外殼4,並且包含例如用以安置在位於支 :JUt 3 ft口動f板等等)上之三個對應動態栓上“ 8儲^ 示);(1 灿端把柄6 ;以及(V)—對側柄 知的任何物品儲存容器。 旱u乂及在此技術中已 芊12圖isc ’除了包含其他物件外,更包含多個儲存 么- 7包含任1支撐部。吾人可將每—個ί 距離dl亦二咖,1之貫施例顯示儲存架12㈣開距離dl。 端12與條贿架12正下方之贈2上 例如抓取F0UP 2ϋ f可對運达機構(例如機械手臂機構)提供 提高的空間。在半導體以且將F〇UP 2由儲存架12 F0UP自儲存架提高¥。體業中口人亦知悉可嗜合F0UP的底板而將 在F0UP 2的分地支撐F〇UP 2,貝悔一麵存架12可 包含實質上等下伸出。舉例而言,每-個儲存架12可 P 2之底面的區域。或者儲存架12可包含小 200835639 區域舰域’ ?、要儲存架12可充分地支樓F〇up 存架12亦可勺ί5ΐ存架12上將不會傾倒、搖晃等等)。每一個儲 結才冓。、匕3 3點式支撐又或在此技術中已知的任何其他支撐 的儲ί Ϊ。顯以;剛Ps的f知儲倉1Q。在圖2中 FOUPs^I^ 22 1〇 方^臨儲在每—個儲存架邮儲存在正·; 姒,俾使機械手臂例如可^端===在最小間隙(例如 離似之間的差距即為浪2:: 〇UP^^f 6。距離d3與距 小容量F0UPS 20時J:择鉦、土 = 3亚且虽在^知儲倉10内儲存 ,⑷如儲存架被隔開=離:架:=均: 2〇 【發明内容】 本發明之一實施樣態提供一哭 效的方式同時儲存大容量容/哭盥丨存糸統,此系統可用有 中,小容量容器包含二器兩者。在一實施例 而大容量容器包含用以儲在 丄 個半導體晶圓的F0UP ; 知_。此容器儲存系統可子至導體晶圓或更多的習 存位置内。 將]谷里或大各量F〇UP儲存在任何儲 本备明之另一實施樣態提一 、/ 包括標準化支撐部_細置:、巧,此系統具有 隹貝苑例中,母一個儲存位置 200835639 包含一對支撐部。最好將每一對支撐部隔開一距離, ^大容量層料殼寬度。雖蚊#部與鄰 = 直間距係小於大容量賺外殼的高度,但大容量y 的垂 在任何儲存位肋。當大容量FQUP被安置在 ^儲存 大容量F0UP夕卜殼可伸出位於正上方的此對支撐部二 部不需調整以容納此大容量F〇UP。 ^支撐 本發明之又-實施樣態提供一種容器儲存系統,此 對準及/或包含對正特徵部的齡位置,以確保每_姆哭被^確 ,置在此儲存位置内。在—實施例中,在儲存位置^每= 部包含至少一對正特徵部,例如動態栓或其他定位元件, W政部可與F0UP的底板或凸緣對正。在另—實施例中,每一 壁,以防止大容侧p安置在這些支撐部上的同時 本發明之又另一實施樣態提供一種容器儲存系統,此系統提 供安全特徵部’以確絲踩此儲㈣_運送及搬運期間不會 相互接觸。在一實施例中,在每一儲存位置内的至少一支撐部I =一感測器:以偵測F0UP是否被安置在此儲存位置内。這些感測 态可防止運送機構嘗試將容器運送至一個已經被佔用的儲存位 置。 【實施方式】 •國際半導體設備及原料組織(SEMI,Semiconductor Equipment and Material International)已制定半導體晶圓製造 口又,的4示準(參考http://www.semi.org)。SEMI標準統一管理可 接义的半導體製造設備公差及介面。於此說明的本發明並非限制 在用以搬運前開式集中晶圓盒(FOUPs,Front Opening Unified Pods)或其他類型之容器的半導體製造設備。 僅作為示範,本發明的各種不同實施例亦可被使用及/或被採 用於搬運才示準機械介面(SMIF,Standard Mechanical InterFace) 200835639 的ί、ί容:丄撐或處 含但不限制於半導體基板。“為=任 t=a=rr藉以可存取此物品,例如平面顯Ϊ ,啟之通道的容器(例如底部開啟SMIF晶圓ί及F〇upf =械, ,說明本發明之目的,於此僅參考曰®-及聰)。然而,為了 - 圖3至圖5說明本發明之各種不同的膏絲舞能 發明之各種不同實施樣態之目的,在此ϋ儲倉=°為了說明本 buffers) Λ大交旦pottd 〇 乂叉保才干1〇1。母一個F0UP,不兔豆 101 F〇UP 20 5 ;1# -小财咖2及12的 此底板可與容器外殼—體成形,或包 ^圖5)±-起為之。 落入本發明的範圍及精神内。凸 容器應 合。凸緣可沿著容器外:^容的支擇部㈣ 外殼^^出、從容器外殼的中ϊ伸出:並ii 如ίΐ器 上(例置在^ 容器包含自容器上is的=±錢撑部101上)。例如,假使 101上的凸緣而被吊離或懸 」_观39 含水平、傾斜或呈階 桿等等。同樣地,切部2列從容器外殼伸出的检或 的任何結構。支撐部1G1最、諸容器之凸緣特徵部 巧=置内的同時,使工作器被 ^貝上為水平。僅為了說明本 抑内,故些支撐部
,容器的支撐部‘底板以及對應之用以實質上水平支H _ 整支撐㈣_寬度的情 .的支樓結構102與104ΡΡ1距雜二5支按圖3之大容量_Ρ 2
2〇的支禮結構118盘⑽汗=J;並且將支稽圖3之小容量FOUP 置的支撐結構m " 距離d4。藉由將每一個儲存位 儲存位置中^存大準距離(例如d4),儲倉⑽可在任何 #邱ιηι ίΐ _ 2或小容量F0UP 20。當然,每 支撐。M01之間的距離不需相等。 、、且 在相i 3、ff之儲倉100包含四個縱列,每一個縱列具有八個儲 碰縱列分別為:第—儲存列C1、第二儲存列c2、= 歹C3以及第四儲存列C4。圖3顯示儲倉100的總儲存容量係 根據儲倉所儲存之小及大容量·s雜量。在此 >列Cl T在八個儲存位置的每一個之内儲存小容量F〇up 2〇。第二 1 C2可儲存一個大容量FOUP 2以及六個小容量FOUPs 20。大 容量F0UP 2本質上佔用兩個儲存位置(在縱列C2上端的兩個儲存 位置)。因此,縱列C2僅儲存七個FOUPs。第三縱列C3可儲存三 個大容量FOUPs 2以及兩個小容量f〇UPs 20。第四縱列C4可儲存 四個大容量F0UPS2〇 圖3所示之儲倉1〇〇可儲存二十四個FOUPs。若儲倉1〇〇完 全儲存小容量FOUPs 20時,儲倉1〇〇可儲存三十二個FOUPs。相 較之下’不論小容量及大容量FOUPs的何種組合被儲存在儲倉10 内,圖1所示之儲倉1〇僅可儲存最多十六個FOUPs。 9 200835639 如圖3所示,儲倉100可儲存兩種不同尺寸的容器··大容量 FOUPs 2以及小容量FOUPs 20。然而,儲倉1〇〇可儲存兩種以上 尺寸的FOUPs。為了使儲倉1〇〇的彈性最大化,較佳係以儲存在儲 倉100内之最小谷态尺寸的向度設定位於每一儲存位置内之支撐 部101之間的垂直間距d5(例如小容量F〇UP2〇的高度吆)。因此, , S撐結構101可包含許多不同的結構。在-實施例中,每一 個支撐結構101係由支撐桿所組成,並且每一對支撐部1〇1 =距或距離d4加以分開。支撐結構1〇1 距4在 倉 上之底板或』 支撐結構二3的實施例中’ no與支撐結構ι12之間的間距 與支樓結構120之間的間距d4箄草離相’支撐結構別 分開距td5 支撐部m垂直地分隔或 •距_。吾人將支撐支樓結構垂直分隔 結構m分隔='df U6等分將支撐結構⑽與支撐 之容器外殼24的高度hf #田士離/^父佳係大於小容量_ 20 被安置在一袓支浐部口此,在本乾例中,當小容量F0UP 20 卿,在小容量‘圖4B所示之支撐部12〇與 之谷》。外设24的上端與位於小容量F〇up 2〇β 200835639 正上方之FOUP 20A的底板32之間會存在一間隙g。 圖4A至4B顯示將小容量F0UP 20儲存在儲倉1〇〇 施例。圖4A-4B所示之小容量F〇up 20與習知F〇up並 夕鬥 的特性。*容量酬P 20包含容器外殼24、與 以 麵開啟容器通道29、上端把柄26、一對 ,緣32。從圖4A所提供的頂視圖觀之,小容量_ρ 2〇的容器;卜 喊24具有錐形構造。在本實施例中,前開口烈 二 =寬度°容^卜殼24的寬度W4係窄於底凸緣32 if器外殼24,底板32亦係呈錐形。圖从顯示 ==ί 小錢剛P 2G的絲32不需超舒響20 大容量F0UP 2具有與小容量證20類似的 、與前開㈣合的可機械式‘通道9 及底板或凸緣12。容器外殼4與底凸 '、彖12各自具有錐形構造。在本實施例中,前開口 3
圖4A顯示支撐結構124與丨26各自包含長度L1。支撐壯構 124與哗並沒有超出F0UP的總長度a。對於支撑結構似與⑽ =長度變化以及其他構造(例如包含三叉結構)應落人本發明的範 ^及精神内。支稽部124與126較佳係足夠長以充分地支撐小容 1 F(|1JP 20 2本實施例中,支撐部124與126支禮剛p的底板 32,因此,,、係足夠長以支撐F〇up的底板犯。在其他 , ?可支樓自容器夕卜殼伸出之_ρ的凸緣(無顯示) 1上伸出的凸緣)。若酬p包含不域凸緣的凸緣 r支撐4124與126必需充分地支樓F〇up的凸緣。此將在之後 作更詳細的朗,每—個切部的長度u制、於觸p(大容量或 小容量F0UP)的長度’俾使當F〇up被安置在一對支撐部ι〇ι上時, F0UP的通道開口不會接觸或碰撞位於上方之儲存位置内的支撐部 11 200835639 101 ° 在本實施例中,圖4A亦顯示F0UP的底板32 撐 各自的支禮表面131上。如上所述 部間的距離d4 一樣長的任何長度,其的g 产W31則,F0UP 2〇無法被一對支樓結構1〇1所支撐。的見度 一個支撐結構1Q1可包含栓(例如動態栓)或其他對正蚊 寺,’其可與位於大容量簡p之底板12以及小容量二 ^底板32兩者上㈣合特徵生财 可 位^ 一實施例中’此儲存位置可包含兩個 古拎处操,牙冓彳如支撐部102)上的圓形栓,以及一個位於另一 用於 300mm 之F0UPS 上之__« 丄:其係經過SEMI標準化。其他對準及/或對 出的=ii _㈣’這些對珊部亦可與自_伸 F〇UPsS2〇r^ ^ m h ^ * 支撐結構124盥126之第w 1女置在儲舍100中之具有 在小容量foup、2nH f存内。小容量贈薦被安置 上。五人將,*择之弟一儲存位置内的支撐結構120與122 距‘。小容量^ 腑20B的上端把柄26 f /5,而在小容量 32之間產生間隙g。_、^_方。之小谷® _Ρ 20A的底板 F0UP運送裝置可在j:内邱浐^ /、一區域’藉以機械手臂或其他 把柄26 fm的上端 露。這種機構的一範例知而不需在此更加揭 再j關破揭路於吴國專利第6579052號,此專利 12 200835639
案被讓與給Asyst Technologies,Inc.。其他類型 本發明的範®及精㈣。若機械手臂或瓣送落入 舉起FOUP 20B的腑底板32或侧柄,^衣置^及/或 而將FOUP 20Β舉離支撐部120與122,並^供空間 20Α的底板32與接觸。 ㈢储存於上之F0UP 圖4Β顯示每一個支撐結構1〇1亦可包含—却 F0UP被安置於儲存位置内的同時,限制驗的橫向移兴二在 •言,圖4β顯示支撐結構丨20與122各自包含傾斜内辟〗牛例而 二F0—UP 20Β在儲存位置内橫向地移動。對於支撐部&旬= 然。母一個支撐結構101在其前方及/或後方(盔顯. ^徵部或壁,以對安置於-對支撐結構101上之二ΐ 及向後移動進行限制。若支撐結構m的確包含前 =向别 ί 舉起越過這些壁的其中之―,以放置 ,101 t,所以此前與後垂直壁最好係不要過高,以增加J二 論gertead clearance)的需求(例如增加對於間隙g的最小g 圖4至圖5顯示每-個F0UP包含一對自腑之侧邊突 丙;大容量F0UP 2包含一對側柄δ。小容量賺 對 :。,大容量F0UP 2上的側柄8最好係低於位在習知J 書== 如位於面對贈外殼的底部)。因此,當膽被安 正ίΐίίϊΓΓ大容量F0UP 2的側柄可越過(沒有接觸)位於 存内的此組支樓結構而。儲倉湖可儲存習知 =0刪iFOUPs。在此情況下,因為習知3〇〇咖之F〇up的侧柄係 3沿者FGUP侧壁的較高位置上(例如在_上的位置係高於圖 ,所以支撐結構101之間的垂直間距d5會大於圖3至圖5 以刹位置内之一個以上的支樓結構1Q1可包含感測器 是否被安置在儲存位置内。這種特徵可用於防止 匕幾械手臂或私裝置之任何類型的放置錯誤或補錯誤,此 13 200835639 ,,手臂或運送裝置移動在儲存位置之間的FQUPs,此儲存位置可 錄ί目前已被佔用。位於每一個支撐結構101上的感測器可 二,廷裝置精確記錄此資訊的交叉檢查或確認。此運送裝置亦 二2二用以ί測容器之底部放置及容器高度的感測器,以交叉檢 子放置貧訊並確保容器被固持在正確的層上,或者確保一位 r置(或複數位置)未被佔用。
,5A顯不除7其他物件以外大容量F0UP2尚包含F0UP外殼 •冰尤,,柄J、一對側柄8、F0UP通道Θ以及底凸緣或板12°F0UP 覓度W2及高度h1。底板12的寬度W1最好係大於F0UP •忘見度W2。底板12的深度可以係與充分地支撐F0UP 2之 ΡΏΠΡ 9 長的任何長度(例如當安置在支撐部102與104上時 包含自容=員卜=由。ψ如先前於上所討論’對於不包含底部支樓板而 及精神内無顯示)的剛ρ應落入本發明的範圍 存位置内的支撐=具有上端凸緣時,蕭凸緣可被安置在儲 ⑽上圖的51==在2儲倉^之儲存位置内之支撐結構搬與 煃g〇UP 2。大谷1F0UP 2的高度hl係大於支撐% ===支撐部遍與⑽之間的垂直間距邪傭 以以i 2,結構1Q1之間的水平間距d4。圖 的容器外殼4可伸出㈣104上時,大容量着2 而在I器外接殼觸4與如每%==伸出支樓部⑽與⑽之間, 的機 1冓板=^^ 離支撐結構102與104。F〇$ = = 6f喷合二並且使娜2舉 支擇部102與104的上端使底板12越過 與支撐部106及108之門在女置之F〇UP之側柄8的上蠕 間的距離必需夠大,以使FOUP被舉離支撐 14 200835639 部102與104,並且使侧柄8不會與支樓部1〇6及1〇8產生碰撞。 圖6^至6B顯不安置在儲存位置内之大容量容器5〇的另一實 施例。容器50包含容器外殼52、凸緣54、上端把柄6〇以及一對 ,柄62。在本實施例中,容器外殼52包含均勻的寬度界5。圖6B 顯不同時具有前開口通道56及底部開口通道58的容器5〇,以說 ,儲存位置係適合任何難的容器。容器外殼52包含高度泊及 寬度W5。在本實施例中包含底凸緣的凸緣54具有寬度财。凸緣 54J*包含與凸、緣54之寬度W4 -樣長的任何寬度,此寬度係大於 支撐部101之間的間距d4。類似於上述小容量及大容量F〇up、 容器,可包含贿何高度自容科殼52伸出的凸HF()UPs 圖6A顯示凸緣54的寬度W4最好係大於容器外殼记的 W5。凸緣54的深度可以係與充分地支撐容器52之凸緣% 一 = ::長度(例如當安置在支撐部1〇2與綱上時,容器52不^ 圖6B顯示安置在儲倉1〇〇之儲存位置内之支撐部1〇2 ^的容器50。類似於大容量F0UP 2 ’容器5〇的高度⑽係大、4 1G2與106以及支撐部1〇4與1〇8之間的垂直 、^ 盗外殼52的寬度W5最好係小於支撐部⑽與⑽之 = ,=。圖6B顯不當容器50被安置在支樓部1〇2與1〇4上時 為50的容器外殼52伸出支撐部106 i 1⑽之n,、· Q二 ^ 106108^ 10^"f8 之間,而在谷益外殼52與每一支撐部之間留下一 3例中,在支樓部1(U之間的間距肪係小於:^, j h3。因此,在容器外殼52的上端與安置在正上f = 54之間產生-間隙或未佔用的空間(無顯示凸, 2容器柄60相嗜合’並且可使容器5。被舉離支以 5_被__使凸緣54越過支擇“二二 吾人應明白上述儲倉100以及在儲倉内用以儲存與運送 200835639 FOUPs的方法僅為示範之目的,並且不藉以限制本發明。已用此種 方式說明用以儲存FOUPs之方法及系統的較佳實施例,熟習本項 1藝者,明白在系統内的某些優點已被達到。吾人亦應明白在本 月之範圍及精神内可產生各種不同的修改、改造、以及替代實 言’儲倉1QQ亦可被使用與半導體製造設施内的其 吾人應日肠許多上述發明觀點可同樣適用在其 他非+導體製造應用的使用上。 【圖式簡單說明】
圖1提供依照先前技術的習知儲倉 知儲::圖1戶斤示之用以儲存具有不同i存容量之容器的習 J 4?4B ; 容器之實施例的頂視及前視圖;μ =层或儲存裝置内之小容量 圖5A-5B提供儲存在圖3所示 、 容器之實施例的頂視及前視圖丨及諸倉或儲存裝置内之大容量 圖6A-6B提供安置在儲存位 的頂視及前視圖。 之工作件容器之另一實施例
【主要元件符號說明】 2大容量F0UP 3前開口 4外殼 6 上端把柄 8側柄 9 F0UP通道 10儲倉 12底板 16 200835639 20小容量FOUP 20A小容量FOUP 20B小容量FOUP 23 前開口 24容器外殼 26 上端把柄 28侧柄 . 29可機械式開啟容器通道 32底板 50容器 籲 52容器外殼 54凸緣‘ 56 前開口通道 58底部開口通道 60 上端把柄 62侧柄 100儲倉 101 支撐結構對 102 支撐結構 φ 104 支撐結構 106 支撐結構 108 支撐結構 110 支撐結構 ‘ 112支撐結構 - 114支撐結構 Π 6 支撐結構 Π8支撐結構 120 支撐結構 122支撐結構 17 200835639 124支撐結構 126支撐結構 130傾斜内壁 131 支撐表面 132支撐結構 134支撐結構

Claims (1)

  1. 200835639 十、申請專利範圍: 1· 一種容斋儲存裝置,該裝置用以儲工抑 量工作件容器,每一個小容量作 合里作件谷态與小容 包含一!與—凸緣,該容ϋ儲存裝置包含·· 件μ 二支撐部隔開一預定距離,該預定第 ^器之容器外殼的寬度,·及 雕係大於该大谷1工作件容 一第二儲存位置,用以支標一 存位置位於該第-儲存位置的_L方,3=的产凸緣’該第二儲 第二支撐部’該第—支撐部與該第二$ 第—支撐部與- 預定距離係大於該大容量乍 邛隔開一預定距離,該 .其中該第-儲存位容科殼的寬度; 位置之該第—及該第二支撐 -支稽部與該第二儲存 工作件容器之容H外殼的高度/、_垂直間距係小於該大容量 2.如申請專利範圍第丨項之容器 之該第-支揮部與該第二支樓 '久其中該第了儲存位置 ,徵部用來與該大容量卫作二$含—對正特徵部,該對 益的凸緣相嗜合。 6凸緣或該小容量工作件容 =申一請專利範圍第i項之容器儲 .$弟-支撐部與該第二支樓部 \,ς中該第二儲存位置 特斂部可與該大容量工件 —對正特徵部,該對正 *凸緣相嚙合。 °°、緣或該小容量工作件容器的 請專利範圍第i項之容器儲存 i ^弟―支禮部與該第二支撑部 中該第―儲存位置 辑二切部上之社作㈣-儲存位置之 的的杈向移動加以限制。 19 200835639 5.如申請專利範圍第1項之 之該第—域部與該第二支“,可置以,二錯存位置 該弟一及料二切部上—料 6·如申請專利範圍第〗 包含一感,,㈣判定該工中,—健存位置 内。 仟谷态疋否位在该第一儲存位置 7.如申凊專利範圍第1 包含一感測器,用以判定、工:杜!衣置,其中該第二儲存位置 内。 ^版該工作件容器是否位在二館存^ 存容器-作件容器與小容 殼與一,,該容器谷!工作件容器包含-容器外 離係大於該大容ΐ隔[預定距離,該預定距 工作件容器的凸緣;^ 以卜殼的寬度,但能支撑於該 镇一 存位置,位於該第一儲存位置的上方,並且包含一 二iid二支撐部’該第—支撐部能第二支稽部水平 之容哭^的距離,該預定距離係大於該大容量卫作件容器 的見度,但能支撐於該工作件容器的凸緣; 容量工作工作件容器位在該第—儲存位肋時,該大 撐部與該第二殼可延伸至該第二儲存位置之該第一支 第一儲存位置 9·如申請專利範圍第8項之容器儲存裝置, 20 200835639 相嚙合 ^該如第申i8狀容雜縣置,其巾鮮二儲存值置 部可與該部各自包含—對正特徵部’該特徵 減人r ^里卫作件谷㈣凸緣或該小容量工作件容器的凸緣 =第申翻I8項之容11鄕裝置,其找第—儲存位置 之該工作件容器的橫向移動加以_[對文置在為儲存位置内 申請圍第8項之容器儲存褒置,其中該第二儲存位置 Ϊ以1ΐ該第二支撐部可對安置在該第二儲存位置内之 巧作件谷态的橫向移動加以限制。 m 專利範圍第8項之容器儲存裝置,其中 ^ 一感測器,肋判定該工作件容器是否位在該第—儲 1勺4人如一申^專利範圍帛δ項之容器儲存裝置,其中該第二儲存位 匕3 一感測ϋ’以判定該二作縣!!是否絲該第二儲存f置内。 十一、圖式: 21
TW096143954A 2006-11-22 2007-11-20 Variable pitch storage shelves TW200835639A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/603,714 US20080118334A1 (en) 2006-11-22 2006-11-22 Variable pitch storage shelves

Publications (1)

Publication Number Publication Date
TW200835639A true TW200835639A (en) 2008-09-01

Family

ID=39417116

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096143954A TW200835639A (en) 2006-11-22 2007-11-20 Variable pitch storage shelves

Country Status (3)

Country Link
US (1) US20080118334A1 (zh)
TW (1) TW200835639A (zh)
WO (1) WO2008064121A2 (zh)

Families Citing this family (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9142437B2 (en) * 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
US10217983B2 (en) 2013-07-26 2019-02-26 Lg Chem, Ltd. Cross-linked compound particle and secondary battery including the same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102127113B1 (ko) * 2013-10-29 2020-06-29 삼성전자 주식회사 카세트 이송장치 및 이를 이용하여 카세트 이송방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1959001A (en) * 1933-06-13 1934-05-15 Nat Biscuit Co Display fixture
US4544068A (en) * 1983-08-16 1985-10-01 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Laboratory glassware rack for seismic safety
US4867629A (en) * 1986-11-20 1989-09-19 Shimizu Construction Co., Ltd. Dusttight storage cabinet apparatus for use in clean rooms
US4775281A (en) * 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US4986715A (en) * 1988-07-13 1991-01-22 Tokyo Electron Limited Stock unit for storing carriers
JPH03177206A (ja) * 1989-12-02 1991-08-01 Sumitomo Rubber Ind Ltd 物品の取り出し・格納方法と装置
US5284412A (en) * 1990-08-17 1994-02-08 Tokyo Electron Sagami Limited Stock unit for storing carriers
WO1994007776A1 (de) * 1992-10-02 1994-04-14 Bellheimer Metallwerk Gmbh Lagerregal
US5570990A (en) * 1993-11-05 1996-11-05 Asyst Technologies, Inc. Human guided mobile loader stocker
JP3344850B2 (ja) * 1993-12-28 2002-11-18 株式会社リコー 部品供給装置
US5713711A (en) * 1995-01-17 1998-02-03 Bye/Oasis Multiple interface door for wafer storage and handling container
EP0735573B1 (de) * 1995-03-28 2004-09-08 BROOKS Automation GmbH Be- und Entladestation für Halbleiterbearbeitungsanlagen
JPH10142293A (ja) * 1996-11-12 1998-05-29 Advantest Corp Ic試験装置
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5980183A (en) * 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
NL1006461C2 (nl) * 1997-07-03 1999-01-05 Asm Int Opslagsamenstel voor wafers.
US6579052B1 (en) * 1997-07-11 2003-06-17 Asyst Technologies, Inc. SMIF pod storage, delivery and retrieval system
JPH1159829A (ja) * 1997-08-08 1999-03-02 Mitsubishi Electric Corp 半導体ウェハカセット搬送装置、半導体ウェハカセット搬送装置で用いられるストッカ、ならびに半導体ウェハカセット搬送装置で用いられるストッカ入庫作業制御方法、ストッカ出庫作業制御方法、自動搬送車制御方法、およびストッカ在庫照合方法
JPH11168135A (ja) * 1997-12-03 1999-06-22 Toshiba Corp 基板保管装置および基板保管方法
NL1009327C2 (nl) * 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
US6042324A (en) * 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6739820B2 (en) * 2001-01-16 2004-05-25 Taiwan Semiconductor Manufacturing Co., Ltd Stocker apparatus with increased input/output capacity
US6516243B2 (en) * 2001-01-16 2003-02-04 Taiwan Semiconductor Manufacturing Co., Ltd Stocker apparatus affording manual access
US6592318B2 (en) * 2001-07-13 2003-07-15 Asm America, Inc. Docking cart with integrated load port
JP2003092345A (ja) * 2001-07-13 2003-03-28 Semiconductor Leading Edge Technologies Inc 基板収納容器、基板搬送システム、保管装置及びガス置換方法
US6773081B2 (en) * 2001-07-27 2004-08-10 Yuyama Mfg. Co., Ltd. Cabinet for conveying medicine
US6726429B2 (en) * 2002-02-19 2004-04-27 Vertical Solutions, Inc. Local store for a wafer processing station
US7175034B2 (en) * 2002-06-14 2007-02-13 Harbor Industries, Inc. Modular, adjustable display rack
US7039499B1 (en) * 2002-08-02 2006-05-02 Seminet Inc. Robotic storage buffer system for substrate carrier pods
US7230702B2 (en) * 2003-11-13 2007-06-12 Applied Materials, Inc. Monitoring of smart pin transition timing
JP2009514235A (ja) * 2005-10-27 2009-04-02 アシスト テクノロジーズ インコーポレイテッド 水平方向配列ストッカ
US8308418B2 (en) * 2006-05-09 2012-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. High efficiency buffer stocker
US20080006559A1 (en) * 2006-07-07 2008-01-10 Entegris, Inc. Substrate carrier and handle
US9033638B2 (en) * 2007-04-17 2015-05-19 International Business Machines Corporation OHT accessible high density stocker and method

Also Published As

Publication number Publication date
WO2008064121A2 (en) 2008-05-29
US20080118334A1 (en) 2008-05-22
WO2008064121A3 (en) 2008-08-28

Similar Documents

Publication Publication Date Title
TW200835639A (en) Variable pitch storage shelves
EP1275336A1 (en) Dishwasher rack with tip-up shelves provided with pull-out cutlery grids
CN1322294C (zh) 具有可调式搁板的冷冻冷藏装置
KR100972587B1 (ko) 전자 부품용 트레이
US20090194456A1 (en) Wafer cassette
CN108336005A (zh) 晶舟构造
US20040191046A1 (en) Substrate processing apparatus
ES2244235T3 (es) Cesta de lavavajillas acomodadora de piezas de vajilla y lavavajillas domestico.
US6910583B2 (en) Apparatus for carrying substrates
US5193682A (en) Wafer basket
JP2006093274A (ja) ウェハ収納容器
ES2474566T3 (es) Soporte de huevos
JP2002330880A (ja) 水切りかご
JP2002240910A (ja) 調剤用薬剤の収容棚
RU2498172C2 (ru) Отсек для хранения охлаждаемых предметов
JP4024333B2 (ja) 薄板支持器
EP1790268A1 (en) Mop bucket with drain
JP3483842B2 (ja) ウェーハ洗浄装置およびウェーハ洗浄方法
JP2991198B1 (ja) 半導体デバイス用トレー
KR100506930B1 (ko) 웨이퍼 카세트
US20220384392A1 (en) Semiconductor structure and manufacturing method thereof
CA1272696A (en) Toilet paper roll stacker
JP2008096618A (ja) 顕微鏡標本容器用ラック
KR200157453Y1 (ko) 반도체 칩 저장용 트레이
JP2004051226A (ja) 電子部品用トレー