WO2008064121A2 - Variable pitch storage shelves - Google Patents

Variable pitch storage shelves Download PDF

Info

Publication number
WO2008064121A2
WO2008064121A2 PCT/US2007/084976 US2007084976W WO2008064121A2 WO 2008064121 A2 WO2008064121 A2 WO 2008064121A2 US 2007084976 W US2007084976 W US 2007084976W WO 2008064121 A2 WO2008064121 A2 WO 2008064121A2
Authority
WO
WIPO (PCT)
Prior art keywords
container
support
foup
storage location
flange
Prior art date
Application number
PCT/US2007/084976
Other languages
French (fr)
Other versions
WO2008064121A3 (en
Inventor
Anthony C. Bonora
Original Assignee
Asyst Technologies, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asyst Technologies, Inc. filed Critical Asyst Technologies, Inc.
Publication of WO2008064121A2 publication Critical patent/WO2008064121A2/en
Publication of WO2008064121A3 publication Critical patent/WO2008064121A3/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G1/00Storing articles, individually or in orderly arrangement, in warehouses or magazines
    • B65G1/02Storage devices

Definitions

  • the present invention generally comprises a container storage device for simultaneously storing multiple containers of different dimensions in an efficient manner. More specifically, the present invention comprises a stocker for efficiently storing a plurality of Front Opening Unified Pods (FOUPs), or other containers with a mechanically openable door, that have varying dimensions.
  • FOUPs Front Opening Unified Pods
  • Figs. 1-2 each illustrate a conventional stocker 10 for storing containers in a fabrication facility.
  • Fig. 1 illustrates a conventional stocker 10 storing multiple FOUPs 2
  • a conventional FOUP 2 comprises (i) a pod shell 4, with a front opening 3, for isolating one or more wafers, (ii) a pod door 9 that mechanically couples to the front opening 3, (iii) a support plate 12 secured to the bottom of the FOUP shell 4 (or an integral part of the FOUP shell), and includes for example, three corresponding kinematic grooves (not shown) for seating over three corresponding kinematic pins located on a support surface (e.g., stocker shelf, load port kinematic plate, etc.), (iv) a top handle 6, and (v) a pair of side handles 8.
  • Stockers 10 also store open cassettes, reticle containers and any other article storage container known within the art.
  • the stocker 10 shown in Fig. 1 includes, among other things, multiple storage shelves 12.
  • a storage shelf 12 may comprise any support.
  • Each FOUP 2 is seated on a storage shelf 12.
  • the Fig. 1 embodiment illustrates that the shelves 12 are spaced a distance dl apart.
  • the distance dl also allows for a clearance d2 between a storage shelf 12 and the top of a FOUP 2 located directly beneath the shelf 12.
  • the clearance d2 provides space for a transfer mechanism (e.g., robotic arm mechanism) to, for example, grab a FOUP 2 by the FOUP 's top handle 6 and lift the FOUP 2 off the shelf 12.
  • a transfer mechanism e.g., robotic arm mechanism
  • Each shelf 12 may extend under any portion of the FOUP 2 as long as the shelf 12 adequately supports the FOUP 2.
  • each shelf 12 may comprise an area substantially equal to the bottom surface of the FOUP 2.
  • the shelf 12 may comprise an area less than the area of the FOUP 's bottom surface, as long as the shelf 12 may adequately support the FOUP 2 (e.g., the FOUP 2 will not tip over, wobble, etc. on the shelf 12).
  • Each shelf 12 may also comprise a 3 -point support fork or any other support structure known within the art.
  • Fig. 2 illustrates a conventional stocker 10 storing varying capacity FOUPs.
  • the stocker 10 in Fig. 2 is storing large-capacity FOUPs 2 ⁇ e.g., a FOUP that stores up to 25 wafers) and small-capacity FOUPs 20 ⁇ e.g., a FOUP that stores less than 25 wafers).
  • the stocker 10 may store any size FOUP or container.
  • Fig. 2 demonstrates the inefficient result of storing small- capacity FOUPs 20 in a conventional stocker 10. As shown in Fig. 2, a large gap or distance d3 exists between the bottom of a shelf 12 and the top of a small capacity FOUP 20 stored on the next shelf 12 below.
  • a minimum gap ⁇ e.g., distance d2) preferably exists between each shelf 12 and the top of a FOUP stored directly beneath on the next shelf 12 below so that a robotic arm, for example, may access the top handle 6 of a FOUP.
  • the difference between the distance d3 and the distance d2 is wasted space, and cannot be avoided when storing small-capacity FOUPs 20 in a conventional stocker 10.
  • the shelves 12 must comprise an evenly spaced arrangement (e.g., shelves are spaced a vertical distance dl apart) to accommodate large- capacity FOUPs 2.
  • a small-capacity container comprises a FOUP for storing less than twenty-five semiconductor wafers and a large- capacity container comprises a conventional FOUP for storing up to twenty-five semiconductor wafers or more.
  • the container storage system may store a small capacity or large capacity FOUP in any of the storage locations.
  • each storage location comprises a pair of supports.
  • Each pair of supports are preferably separated by a distance greater that the width of the large-capacity FOUP shell. Even though the vertical pitch between the supports of adjacent storage locations is less than the height of a large capacity FOUP shell, a large-capacity FOUP may be stored in any storage location.
  • the large capacity FOUP shell when the large capacity FOUP is seated on a pair of supports, extends between the pair of supports located directly above. The pair of supports do not have to adjust to accommodate the large capacity FOUP.
  • each support within the storage location includes at least one registration feature, such as a kinematic pin or other location element, that registers with a bottom plate or flange of the FOUP.
  • each support includes a side wall to prevent the large capacity FOUP from moving laterally while seated on the supports.
  • Still another aspect of the present invention is to provide a container storage system that provides safety features to ensure that containers do not contact each other during transport and handling within the storage system.
  • at least one support in each storage location includes a sensor to detect whether a FOUP is seated within the storage location. The sensor prevents a transport mechanism from attempting to deliver a container to an already occupied storage location.
  • FIGURE 1 provides a schematic view of a conventional stocker, according to the prior art
  • FIGURE 2 provides a schematic view of the conventional stocker shown in FIG. 1, storing containers having different storage capacities
  • FIGURE 3 provides a schematic view of an embodiment of a stocker or storage device, according to the present invention
  • FIGURES 4A-4B provide plan and front views of an embodiment of a small capacity container stored in the stocker or storage device shown in Fig. 3;
  • FIGURES 5A-5B provide plan and front views of an embodiment of a large capacity container stored in the stocker or storage device shown in Fig. 3;
  • FIGURES 6A-6B provide plan and front views of another embodiment of a workpiece container seated in a storage location Detailed Description of the Invention Semiconductor Equipment and Materials International (SEMI) has created standards for semiconductor wafer manufacturing equipment ⁇ see http://www.semi.org). The SEMI
  • Container is defined as any type of structure for supporting an article including, but not limited to, a semiconductor substrate.
  • a container includes a structure that comprises an open volume whereby the article can be accessed ⁇ e.g., FPD transport) or a container having a mechanically openable door ⁇ e.g., bottom opening SMIF pod and FOUP).
  • FOUP field opening SMIF pod and FOUP
  • Figs. 3-5 describe various aspects if the present invention.
  • the container storage system is described herein in conjunction with a stocker.
  • the present invention also applies to other container storage systems such as, but not limited to, tool proximity buffers and other storage devices used within the semiconductor industry.
  • Fig. 3 illustrates a stocker 100.
  • the stocker 100 includes multiple storage locations, each comprising a pair or set of support structures or bars 101.
  • Each FOUP whether it is a large capacity FOUP 2 or a small capacity FOUP 20, is supported by the pair or set of support structures 101.
  • the stocker 100 is described throughout in operation with a small capacity FOUP 20 and a large capacity FOUP 2 each having a bottom plate 32 and 12, respectively (see Figs. 4-5).
  • the bottom plate may be integrally formed with the container shell or comprise a separate structure that is secured to the container shell.
  • the bottom plate may also be referred to as a flange. It is within the scope and spirit of the invention for a container to include other types of flanges.
  • a flange may comprise any structure or feature, secured to or extending from the container shell, that mates with the supports in the storage location for supporting the container while the container is seated in a storage location. .
  • a flange may extend from the container shell at any elevation along the container shell ⁇ e.g., extend from the top of the container shell, extend from the middle of the container shell, comprise the bottom plate, etc.). While a container is seated in a storage location, the flange(s) is seated on the supports 101 (e.g., the bottom plate 12 of the FOUP 2 is seated on the supports 101). If, for example, a container includes a flange extending from the top of the container, the container is hung or suspended from the supports 101 by the flange seated on the supports 101.
  • a flange may comprise a horizontal, angled or stepped surface, a series of pins or rods extending from the container shell, and so on.
  • the supports 101 may comprise any structure for supporting the container's flange features.
  • the supports 101 and the container flange preferably mate such that the workpieces stored in the container, while the container is seated in the storage location, are substantially horizontal.
  • the stocker 100 will be described in operation with containers including a bottom plate and corresponding supports for supporting the container substantially horizontal.
  • the distance or pitch d4 between supports 101 may be standardized for the entire stocker 100.
  • support structures 102 and 104 which are supporting a large capacity FOUP 2 in Fig. 3, are spaced apart by a distance d4.
  • the support structures 118 and 120 which are supporting a small capacity FOUP 20 in Fig. 3, are separated apart by the same distance d4.
  • the stocker 100 may store either a large capacity FOUP 2 or a small capacity FOUP 20 in any of the storage locations.
  • the distance between each set of supports 101 does not have to be equal.
  • the stocker 100 shown in Fig. 3 comprises four columns, each column having eight storage locations: a first storage column Cl, a second storage column C2, a third storage column C3 and a fourth storage column C4.
  • Fig. 3 illustrates that the total storage capacity of the stocker 100 depends on the number of small and large capacity FOUPs stored in the stocker 100.
  • the first column Cl stores a small capacity FOUP 20 in each of the eight storage locations.
  • the second column C2 stores one large capacity FOUP 2 and six small capacity FOUPs 20.
  • the large capacity FOUP 2 essentially takes up two storage locations (the top two storage locations in columns C2). Thus, column 2 only stores seven FOUPs.
  • the third column C3 stores three large capacity FOUPs 2 and two small capacity FOUPs 20.
  • the fourth column C4 stores four large capacity FOUPs 2.
  • the stocker 100 shown in Fig. 3 is storing twenty-four FOUPs. If the stocker 100 stored all small capacity FOUPs 20, the stocker 100 would store thirty-two FOUPs. In contrast, the stacker 10 shown in Fig. 1 may only store a maximum of sixteen FOUPs, regardless of what combination of small capacity and large capacity FOUPs were stored in the stacker 10.
  • the stocker 100 stores two different sizes of containers: large capacity FOUPs 2 and small capacity FOUPs 20. However, the stocker 100 may store more than two different sizes of FOUPs.
  • the vertical pitch d5 between the supports 101 in each storage location is preferably set by the height of the smallest container size that will be stored in the stocker 100 (e.g., height h2 of a small capacity FOUP 20).
  • the gap g is minimized.
  • the support structure 101 may comprise many different structures.
  • each support structure 101 consists of a support bar, and each pair of supports 101 are set apart at a horizontal pitch or distance d4.
  • the pitch d4 between support structures 101 comprises a distance that provides maximum storage of the small capacity FOUPs 20 without wasted space within the stocker 100.
  • the pitch d4 is also determined, in part, by the width of the bottom plate or flange on each FOUP. By standardizing the width of the bottom plate or flange on each FOUP, the pitch d4 may also be standardized.
  • Fig. 3 illustrates a stocker 100 with a standardized pitch d4.
  • the pitch d4 between the support structure 102 and support structure 104 is the same as the pitch or distance between the support structure 110 and the support structure 112, which is the same as the pitch d4 between the support structure 118 and the support structure 120 and so on.
  • each set of supports 101 within a particular row are placed close to each other.
  • the support 118 of the set of supports 118 and 120 is placed close to the support 132 of the adjacent set of supports 134 and 132.
  • Each support structure 101 is also separated or set apart vertically by a distance d5 from another support 101.
  • Fig. 3 illustrates that support structure 104 and support structure 108 are separated vertically by a distance d5.
  • Support structure 102 and support structure 106 are separated by distance d5.
  • Support structure 112 and support structure 116 are separated by distance d5.
  • Support structure 110 and support structure 114 are separated by distance d5.
  • the distance d5 is preferably greater than the height h2 of a container shell 24 of a small capacity FOUP 20.
  • a gap g exists between the top of the small capacity FOUP's container shell 24 and, in this example, the bottom plate 32 of the FOUP 2OA located directly above the small capacity FOUP 2OB.
  • Figs. 4A-4B illustrate one embodiment of storing a small capacity FOUP 20 within the stocker 100.
  • the small capacity FOUP 20 shown in Figs. 4A-4B shares many common characteristics with a conventional FOUP.
  • the small capacity FOUP 20 includes a container shell 24, a mechanically openable container door 29 that couples with the front opening 23, a top handle 26, a pair of side handles 28 and a bottom plate or flange 32. From the top view provided in Fig. 4A, the container shell 24 of the small capacity FOUP 20 has a tapered configuration.
  • the front opening 23, in this embodiment, is substantially the same width W3as the width of the bottom flange 32.
  • the width W4 of the container shell 24 is narrower than the width W3 of the bottom flange 32.
  • the container shell 24, similar to the bottom plate 32 is also tapered.
  • Fig. 4A shows that the FOUP 20 comprises a length L2.
  • the bottom plate 32 of the small capacity FOUP 20 is not required to extend the entire length L2
  • the large capacity FOUP 2 has similar features as the small capacity FOUP 20.
  • the large capacity FOUP 2 includes a container shell 4, a mechanically openable door 9 that couples with the front opening 3, a top handle 6, a pair of side handles 8 and a bottom plate or flange 12.
  • the container shell 4 and the bottom flange 32 each have a tapered configuration.
  • the front opening 3, in this embodiment, is substantially the same width Wl as the bottom flange 32. And the width W2 of the front opening 3 is greater than the width W2 of the container shell 4.
  • Fig. 4A illustrates that the support structures 124 and 126 each comprise a length Ll.
  • the support structures 124 and 126 do not extend the entire length L2 of the FOUP. It is within the scope and spirit of the invention for the length of the support structures 124 and 126 to vary, and have other configurations (e.g., comprise a three-point fork structure).
  • the supports 124 and 126 are preferably long enough to adequately support the small capacity FOUP 20. In this embodiment, the supports 124 and 126 support the FOUP by its bottom plate 32, and therefore, are long enough to support the FOUP's bottom plate 32.
  • the supports 124 and 126 may support the FOUP by the FOUP's flange (not shown) extending from the container shell (e.g., a flange extending from the top of the FOUP). If the FOUP includes a flange other than a bottom flange, the supports 124 and 126 must adequately support the FOUP by its flange. As will be discussed in more detail later, the length Ll of each support is less than the length of the FOUP (large capacity or small capacity FOUP) so that, when the FOUP is seated on a pair of supports 101, the FOUP door opening will not contact or strike the supports 101 in the storage location located above.
  • Fig. 4A also illustrates that, in this embodiment, the FOUP's bottom plate 32 is seated on the support surface 131 of each support 124 and 126.
  • the width W3 of the bottom plate 32 is greater than the width W4 of the FOUP shell 24.
  • the width W3 of the bottom plate 32 may comprise any length as long as the distance d4 between supports 101 is narrower thatn the width W3 of the bottom plate 32. Otherwise, the FOUP 20 could not be supported by a pair of support structures 101.
  • Each support structure 101 may include pins (e.g., kinematic pins) or other registration or location features that would accurately engage mating features on both the large capacity
  • the storage location may include two rounded pins on one support structure 101 (e.g., support 102) and one rounded pin on the other support structure 101 (e.g., support 104).
  • 104 would then include three corresponding registration features similar to the arrangement used for the kinematic pin alignment on 300mm FOUPs, which are standardized by SEMI.
  • each support structure 101 has
  • Fig. 4B illustrates two small capacity FOUPs 2OA and 2OB seated in two vertically adjacent storage locations.
  • Small capacity FOUP 2OA is seated in the stocker 100 in a first storage location having support structures 124 and 126.
  • Small capacity FOUP 2OB is seated below the small capacity FOUP 2OA, in a second storage location, on support structures 120 and 122. Both sets of support structures are horizontally spaced apart a distance d4 and are spaced vertically apart by a distance d5.
  • the height h2 of the small capacity FOUP 2OB is less than the distance d5, creating a gap g between the top handle 26 of the small capacity FOUP 2OB and the bottom plate 32 of the small capacity FOUP 2OA seated above.
  • the gap g provides an area whereby a robotic arm or other FOUP transfer device may operate within, for example, to grip the top handle 26 of the small capacity FOUP 2OB.
  • a robotic arm or other FOUP transfer device may operate within, for example, to grip the top handle 26 of the small capacity FOUP 2OB.
  • Such a mechanism is well known in the semiconductor art and does not require further disclosure herein.
  • One example of such a mechanism is disclosed in U.S. Patent No. 6,579,052, which is assigned to Asyst Technologies, Inc., and is incorporated herein by reference. Other types of mechanisms are within the scope and spirit of the present invention. If the robotic arm or FOUP transfer device engages and/or lifts the FOUP 2OB by the FOUP's bottom plate 32 or side handles 28, the gap g provides room to lift the FOUP 2OB off the supports 120 and 122 and not contact the bottom plate 32 of the FOUP 2OA stored above.
  • each support structure 101 may also include a feature to limit the lateral motion of the FOUP while the FOUP is seated in the storage location.
  • Fig. 4B illustrates that support structures 120 and 122 each contain an inclined inner wall 130 to prevent the FOUP 2OB from moving laterally within the storage location.
  • the same is true for the supports 124 and 126.
  • Each support structure 101 may also include a similar feature or wall at the front and/or rear of the support structure 101 (not shown) to limit the forward and backwards motion of a FOUP seated on a pair of support structures 101.
  • the support structures 101 did include front and rear walls, the front and rear vertical walls would preferably not be excessively tall because the FOUP must be lifted over one of the walls to be placed on the set of support structures 101, increasing overhead clearance requirements (e.g., increasing the minimum required height for gap g).
  • Figs. 4-5 illustrate that each FOUP contains a pair of side handles protruding from the side of the FOUP.
  • the large capacity FOUP 2 includes a pair of side handles 8.
  • the small capacity FOUP 20 includes a pair of side handles 28.
  • the side handles 8 on the large capacity FOUP 2 are preferably lower than the side handles on a conventional FOUP (e.g., located towards the bottom of the FOUP shell). This way, the side handles 8 of a large capacity FOUP 2, when the FOUP is seated in a storage location, clears (does not contact) the set of supports 101 in the storage location located directly above.
  • the stocker 100 may store conventional 300mm FOUPs. In that case, the vertical pitch d5 between support structures 101 would be greater than shown in Figs. 3-5 because the side handles of a conventional 300mm FOUP are located higher along the FOUP's side wall (e.g., higher on the FOUP than shown in Fig. 3).
  • One or more support structures 101 in each storage location may include a sensor to determine if, for example, a FOUP is seated in the storage location. This feature may be useful to prevent any type of placement or collision errors even though the robot or transfer device that moves FOUPs between storage locations may be recording which storage locations are currently occupied.
  • a sensor on each support structure 101 could provide a cross check or confirm that the transfer device is accurately recording this information.
  • the transfer device may also include sensors that sense the bottom placement of the container and the containers height to cross check the stored placement information and assure that the container is gripped at the correct level or that a position (or positions) is unoccupied.
  • Fig. 5A illustrates that the large capacity FOUP 2 includes, among other things, a FOUP shell 4, a top handle 6, a pair of side handles 8, a FOUP door 10 and a bottom flange or plate 12.
  • the FOUP shell 4 comprises a width W2 and a height hi.
  • the bottom plate 12 may be any length as long as the bottom plate 12 adequately supports the FOUP 2 (e.g., the
  • FOUP 2 will not tip over when seated on the supports 102 and 104).
  • FOUP it is also within the scope of the invention for the FOUP to not include a bottom support plate and instead include a flange (not shown) extending from the container shell. If the FOUP has, for example, a top flange, the FOUP flange would be seated on the supports in a storage location.
  • Fig. 5B illustrates a large capacity FOUP 2 seated in a storage location of the stocker 100 on support structures 102 and 104.
  • the height hi of the large capacity FOUP 2 is greater than the vertical pitch d5 between the support structures 102 and 106 and the supports 104 and 108.
  • the width W2 of the FOUP shell 4 is preferably smaller than the horizontal pitch d4 between support structures 101.
  • Fig. 5B illustrates that container shell 4 of the large capacity FOUP 2, when the FOUP 2 is seated on the supports 102 and 104, extends between the supports 106 and 108 and does not contact either support 106 or 108. Thus, the container shell 4 extends between the supports 106 and 108, leaving a small gap between the container shell 4 and each support.
  • a gap or empty space g is preferably located between the top of the FOUP shell 4 and the bottom plate 11 of the FOUP (small or large capacity) seated above.
  • the gap g allows a transfer mechanism to engage the FOUP handle 6 and lift the FOUP 2 off the support structures 102 and 104.
  • the FOUP 2 must be lifted high enough so that the bottom plate 12 clears the top of the supports 102 and 104.
  • the distance between the top of the side handles 8 of a seated FOUP and the supports 106 and 108 must be large enough to allow the FOUP to be lifted off the supports 102 and 104 and not strike the side handles 8 against supports 106 and 108.
  • FIG. 6A-6B illustrate another embodiment of a large capacity container 50 seated in a storage location.
  • the container SO includes a container shell 52, a flange 54, a top handle 62 and a pair of side handles 62.
  • the container shell 52 comprises a uniform width W5.
  • Fig. 6B shows the container 50 with both a front opening door 56 and a bottom opening door 58 to illustrate that the storage location is suitable for any type of container.
  • the container shell 52 comprises a height h3 and a width W5.
  • the flange 54 which in this embodiment comprises a bottom flange, has a width W4.
  • the flange 54 may comprise any width as long as the width W4of the flange 54 is greater than the pitch d4 between support 101.
  • the container 50 may include a flange 54 extending from the container shell 52 at any elevation.
  • Fig. 6A illustrates that the width W4 of the flange 54 is preferably greater than the width W5 of the container shell 52.
  • the depth of the flange 54 may be any length as long as the flange 54 adequately supports the container 50 (e.g., the container 50 will not tip over when seated on the supports 102 and 104).
  • Fig. 6B illustrates the container 50 seated in a storage location of the stocker 100 on supports 102 and 104. Similar to the large capacity FOUP 2, the height h3 of the container 50 is greater than the vertical pitch d5 between the support structures 102 and 106 and the supports 104 and 108. The width W5 of the container shell 52 is preferably smaller than the horizontal pitch d4 between supports 106 and 108.
  • Fig. 6B illustrates that container shell 52 of the container 50, when the container 50 is seated on the supports 102 and 104, extends between the supports 106 and 108 and does not contact either support 106 or 108. Thus, the container shell 52 extends between the supports 106 and 108, leaving a small gap between the container shell 52 and each support.
  • the pitch d5 between supports 101 is greater than the height h3 of the container shell 52. This way, a gap or empty space (not shown) is accommodateted between the top of the container shell 52 and the flange 54 of the container seated directly above. The gap allows a transfer mechanism to engage the container handle 60 and lift the container 50 off the supports 102 and 104. The container 50 must be lifted high enough so that the flange 54 clears the top of the supports 102 and 104.

Abstract

The present invention generally comprises a container storage system for efficiently storing large capacity and small capacity containers or FOUPs. In one embodiment, each storage shelf within the stocker comprises a pair of spaced apart supports. A large capacity container or a small capacity container may be seated on any of these supports. The storage locations are arranged within the stocker to minimize the amount of empty space between the locations and seated containers. In one embodiment, the vertical pitch between supports of adjacent storage locations is less than the height of a large capacity FOUP container shell. Thus, when a FOUP is seated in a storage location, its container shell extends between the supports of the storage location located directly above.

Description

VARIABLE PITCH STORAGE SHELVES
Inventor:
Anthony C. Bonora Field of the Invention The present invention generally comprises a container storage device for simultaneously storing multiple containers of different dimensions in an efficient manner. More specifically, the present invention comprises a stocker for efficiently storing a plurality of Front Opening Unified Pods (FOUPs), or other containers with a mechanically openable door, that have varying dimensions. Background of the Invention
Figs. 1-2 each illustrate a conventional stocker 10 for storing containers in a fabrication facility. Fig. 1 illustrates a conventional stocker 10 storing multiple FOUPs 2, A conventional FOUP 2 comprises (i) a pod shell 4, with a front opening 3, for isolating one or more wafers, (ii) a pod door 9 that mechanically couples to the front opening 3, (iii) a support plate 12 secured to the bottom of the FOUP shell 4 (or an integral part of the FOUP shell), and includes for example, three corresponding kinematic grooves (not shown) for seating over three corresponding kinematic pins located on a support surface (e.g., stocker shelf, load port kinematic plate, etc.), (iv) a top handle 6, and (v) a pair of side handles 8. Stockers 10 also store open cassettes, reticle containers and any other article storage container known within the art.
The stocker 10 shown in Fig. 1 includes, among other things, multiple storage shelves 12. A storage shelf 12 may comprise any support. Each FOUP 2 is seated on a storage shelf 12. The Fig. 1 embodiment illustrates that the shelves 12 are spaced a distance dl apart. The distance dl also allows for a clearance d2 between a storage shelf 12 and the top of a FOUP 2 located directly beneath the shelf 12. The clearance d2 provides space for a transfer mechanism (e.g., robotic arm mechanism) to, for example, grab a FOUP 2 by the FOUP 's top handle 6 and lift the FOUP 2 off the shelf 12. It is also known within the semiconductor industry to engage a FOUP and lift the FOUP off a shelf by the FOUPs bottom plate.
Each shelf 12 may extend under any portion of the FOUP 2 as long as the shelf 12 adequately supports the FOUP 2. For example, each shelf 12 may comprise an area substantially equal to the bottom surface of the FOUP 2. Or the shelf 12 may comprise an area less than the area of the FOUP 's bottom surface, as long as the shelf 12 may adequately support the FOUP 2 (e.g., the FOUP 2 will not tip over, wobble, etc. on the shelf 12). Each shelf 12 may also comprise a 3 -point support fork or any other support structure known within the art.
Fig. 2 illustrates a conventional stocker 10 storing varying capacity FOUPs. The stocker 10 in Fig. 2 is storing large-capacity FOUPs 2 {e.g., a FOUP that stores up to 25 wafers) and small-capacity FOUPs 20 {e.g., a FOUP that stores less than 25 wafers). The stocker 10 may store any size FOUP or container. Fig. 2 demonstrates the inefficient result of storing small- capacity FOUPs 20 in a conventional stocker 10. As shown in Fig. 2, a large gap or distance d3 exists between the bottom of a shelf 12 and the top of a small capacity FOUP 20 stored on the next shelf 12 below. A minimum gap {e.g., distance d2) preferably exists between each shelf 12 and the top of a FOUP stored directly beneath on the next shelf 12 below so that a robotic arm, for example, may access the top handle 6 of a FOUP. The difference between the distance d3 and the distance d2 is wasted space, and cannot be avoided when storing small-capacity FOUPs 20 in a conventional stocker 10. The shelves 12 must comprise an evenly spaced arrangement (e.g., shelves are spaced a vertical distance dl apart) to accommodate large- capacity FOUPs 2.
Thus, an improved stocker for simultaneously storing both small-capacity FOUPs 20 and large-capacity FOUPs 2 is needed in the industry. The present invention provides such a stocker. Summary of the Invention
One aspect of the present invention is to provide a container storage system that may simultaneously store both large-capacity containers and small-capacity containers in an efficient manner. In one embodiment, a small-capacity container comprises a FOUP for storing less than twenty-five semiconductor wafers and a large- capacity container comprises a conventional FOUP for storing up to twenty-five semiconductor wafers or more. The container storage system may store a small capacity or large capacity FOUP in any of the storage locations.
Another aspect of the present invention is to provide a container storage system having storage locations with standardized supports. In one embodiment, each storage location comprises a pair of supports. Each pair of supports are preferably separated by a distance greater that the width of the large-capacity FOUP shell. Even though the vertical pitch between the supports of adjacent storage locations is less than the height of a large capacity FOUP shell, a large-capacity FOUP may be stored in any storage location. The large capacity FOUP shell, when the large capacity FOUP is seated on a pair of supports, extends between the pair of supports located directly above. The pair of supports do not have to adjust to accommodate the large capacity FOUP.
Yet another aspect of the present invention is to provide a container storage system with storage locations that align and/or include registration features to ensure that each container is properly seated within the storage location. In one embodiment, each support within the storage location includes at least one registration feature, such as a kinematic pin or other location element, that registers with a bottom plate or flange of the FOUP. In another embodiment, each support includes a side wall to prevent the large capacity FOUP from moving laterally while seated on the supports.
Still another aspect of the present invention is to provide a container storage system that provides safety features to ensure that containers do not contact each other during transport and handling within the storage system. In one embodiment, at least one support in each storage location includes a sensor to detect whether a FOUP is seated within the storage location. The sensor prevents a transport mechanism from attempting to deliver a container to an already occupied storage location.
Brief Description of the Drawings
FIGURE 1 provides a schematic view of a conventional stocker, according to the prior art; FIGURE 2 provides a schematic view of the conventional stocker shown in FIG. 1, storing containers having different storage capacities;
FIGURE 3 provides a schematic view of an embodiment of a stocker or storage device, according to the present invention
FIGURES 4A-4B provide plan and front views of an embodiment of a small capacity container stored in the stocker or storage device shown in Fig. 3;
FIGURES 5A-5B provide plan and front views of an embodiment of a large capacity container stored in the stocker or storage device shown in Fig. 3; and
FIGURES 6A-6B provide plan and front views of another embodiment of a workpiece container seated in a storage location Detailed Description of the Invention Semiconductor Equipment and Materials International (SEMI) has created standards for semiconductor wafer manufacturing equipment {see http://www.semi.org). The SEMI
Standards govern acceptable tolerances and interfaces for semiconductor manufacturing equipment. The inventions described herein are not limited to semiconductor manufacturing equipment for handling FOUPs or other types of containers.
By way of example only, the various embodiments of the present invention may also be used and/or adapted for systems handling SMIF pods, reticle containers, flat panel display transport devices, or any other container or processing tool. Container is defined as any type of structure for supporting an article including, but not limited to, a semiconductor substrate. By way of example only, a container includes a structure that comprises an open volume whereby the article can be accessed {e.g., FPD transport) or a container having a mechanically openable door {e.g., bottom opening SMIF pod and FOUP). For purposes of describing this invention, however, only FOUPs will be referenced herein.
Figs. 3-5 describe various aspects if the present invention. For purposes of describing various aspects of the present invention, the container storage system is described herein in conjunction with a stocker. However, it is understood that the present invention also applies to other container storage systems such as, but not limited to, tool proximity buffers and other storage devices used within the semiconductor industry.
Fig. 3 illustrates a stocker 100. The stocker 100 includes multiple storage locations, each comprising a pair or set of support structures or bars 101. Each FOUP, whether it is a large capacity FOUP 2 or a small capacity FOUP 20, is supported by the pair or set of support structures 101.
The stocker 100 is described throughout in operation with a small capacity FOUP 20 and a large capacity FOUP 2 each having a bottom plate 32 and 12, respectively (see Figs. 4-5). The bottom plate may be integrally formed with the container shell or comprise a separate structure that is secured to the container shell. The bottom plate may also be referred to as a flange. It is within the scope and spirit of the invention for a container to include other types of flanges. A flange may comprise any structure or feature, secured to or extending from the container shell, that mates with the supports in the storage location for supporting the container while the container is seated in a storage location. . A flange may extend from the container shell at any elevation along the container shell {e.g., extend from the top of the container shell, extend from the middle of the container shell, comprise the bottom plate, etc.). While a container is seated in a storage location, the flange(s) is seated on the supports 101 (e.g., the bottom plate 12 of the FOUP 2 is seated on the supports 101). If, for example, a container includes a flange extending from the top of the container, the container is hung or suspended from the supports 101 by the flange seated on the supports 101. By way of example only, a flange may comprise a horizontal, angled or stepped surface, a series of pins or rods extending from the container shell, and so on. Similarly, the supports 101 may comprise any structure for supporting the container's flange features. The supports 101 and the container flange preferably mate such that the workpieces stored in the container, while the container is seated in the storage location, are substantially horizontal. For purposes of describing this invention only, the stocker 100 will be described in operation with containers including a bottom plate and corresponding supports for supporting the container substantially horizontal.
In the case where the bottom support plate of a large capacity FOUP and a small capacity FOUP are the same width, the distance or pitch d4 between supports 101 may be standardized for the entire stocker 100. For example, support structures 102 and 104, which are supporting a large capacity FOUP 2 in Fig. 3, are spaced apart by a distance d4. And the support structures 118 and 120, which are supporting a small capacity FOUP 20 in Fig. 3, are separated apart by the same distance d4. By separating the support structures 101 of each storage location apart by a standard distance (e.g., distance d4), the stocker 100 may store either a large capacity FOUP 2 or a small capacity FOUP 20 in any of the storage locations. Of course, the distance between each set of supports 101 does not have to be equal.
The stocker 100 shown in Fig. 3 comprises four columns, each column having eight storage locations: a first storage column Cl, a second storage column C2, a third storage column C3 and a fourth storage column C4. Fig. 3 illustrates that the total storage capacity of the stocker 100 depends on the number of small and large capacity FOUPs stored in the stocker 100. Here, the first column Cl stores a small capacity FOUP 20 in each of the eight storage locations. The second column C2 stores one large capacity FOUP 2 and six small capacity FOUPs 20. The large capacity FOUP 2 essentially takes up two storage locations (the top two storage locations in columns C2). Thus, column 2 only stores seven FOUPs. The third column C3 stores three large capacity FOUPs 2 and two small capacity FOUPs 20. The fourth column C4 stores four large capacity FOUPs 2.
The stocker 100 shown in Fig. 3 is storing twenty-four FOUPs. If the stocker 100 stored all small capacity FOUPs 20, the stocker 100 would store thirty-two FOUPs. In contrast, the stacker 10 shown in Fig. 1 may only store a maximum of sixteen FOUPs, regardless of what combination of small capacity and large capacity FOUPs were stored in the stacker 10.
The stocker 100, as shown in Fig. 3, stores two different sizes of containers: large capacity FOUPs 2 and small capacity FOUPs 20. However, the stocker 100 may store more than two different sizes of FOUPs. To maximize the flexibility of the stocker 100, the vertical pitch d5 between the supports 101 in each storage location is preferably set by the height of the smallest container size that will be stored in the stocker 100 (e.g., height h2 of a small capacity FOUP 20). Thus, if one column of the stocker 100 stores all small capacity FOUPs, the gap g (see Fig. 4B) is minimized. The support structure 101 may comprise many different structures. In one embodiment, each support structure 101 consists of a support bar, and each pair of supports 101 are set apart at a horizontal pitch or distance d4. The pitch d4 between support structures 101 comprises a distance that provides maximum storage of the small capacity FOUPs 20 without wasted space within the stocker 100. The pitch d4 is also determined, in part, by the width of the bottom plate or flange on each FOUP. By standardizing the width of the bottom plate or flange on each FOUP, the pitch d4 may also be standardized.
Fig. 3 illustrates a stocker 100 with a standardized pitch d4. In the Fig. 3 embodiment, the pitch d4 between the support structure 102 and support structure 104 is the same as the pitch or distance between the support structure 110 and the support structure 112, which is the same as the pitch d4 between the support structure 118 and the support structure 120 and so on. To minimize the footprint of the stocker 100, each set of supports 101 within a particular row are placed close to each other. For example, the support 118 of the set of supports 118 and 120 is placed close to the support 132 of the adjacent set of supports 134 and 132.
Each support structure 101 is also separated or set apart vertically by a distance d5 from another support 101. Fig. 3 illustrates that support structure 104 and support structure 108 are separated vertically by a distance d5. Support structure 102 and support structure 106 are separated by distance d5. Support structure 112 and support structure 116 are separated by distance d5. Support structure 110 and support structure 114 are separated by distance d5. And so on. The distance d5 is preferably greater than the height h2 of a container shell 24 of a small capacity FOUP 20. Thus, when a small capacity FOUP 20 is seated on a set of supports 101
(e.g., supports 120 and 122 as shown in Fig. 4B), a gap g exists between the top of the small capacity FOUP's container shell 24 and, in this example, the bottom plate 32 of the FOUP 2OA located directly above the small capacity FOUP 2OB.
Figs. 4A-4B illustrate one embodiment of storing a small capacity FOUP 20 within the stocker 100. The small capacity FOUP 20 shown in Figs. 4A-4B shares many common characteristics with a conventional FOUP. The small capacity FOUP 20 includes a container shell 24, a mechanically openable container door 29 that couples with the front opening 23, a top handle 26, a pair of side handles 28 and a bottom plate or flange 32. From the top view provided in Fig. 4A, the container shell 24 of the small capacity FOUP 20 has a tapered configuration. The front opening 23, in this embodiment, is substantially the same width W3as the width of the bottom flange 32. The width W4 of the container shell 24 is narrower than the width W3 of the bottom flange 32. The container shell 24, similar to the bottom plate 32, is also tapered. Fig. 4A shows that the FOUP 20 comprises a length L2. The bottom plate 32 of the small capacity FOUP 20 is not required to extend the entire length L2 of the FOUP 20,
The large capacity FOUP 2 has similar features as the small capacity FOUP 20. The large capacity FOUP 2 includes a container shell 4, a mechanically openable door 9 that couples with the front opening 3, a top handle 6, a pair of side handles 8 and a bottom plate or flange 12. The container shell 4 and the bottom flange 32 each have a tapered configuration.
The front opening 3, in this embodiment, is substantially the same width Wl as the bottom flange 32. And the width W2 of the front opening 3 is greater than the width W2 of the container shell 4.
Fig. 4A illustrates that the support structures 124 and 126 each comprise a length Ll. The support structures 124 and 126 do not extend the entire length L2 of the FOUP. It is within the scope and spirit of the invention for the length of the support structures 124 and 126 to vary, and have other configurations (e.g., comprise a three-point fork structure). The supports 124 and 126 are preferably long enough to adequately support the small capacity FOUP 20. In this embodiment, the supports 124 and 126 support the FOUP by its bottom plate 32, and therefore, are long enough to support the FOUP's bottom plate 32. In other embodiments, the supports 124 and 126 may support the FOUP by the FOUP's flange (not shown) extending from the container shell (e.g., a flange extending from the top of the FOUP). If the FOUP includes a flange other than a bottom flange, the supports 124 and 126 must adequately support the FOUP by its flange. As will be discussed in more detail later, the length Ll of each support is less than the length of the FOUP (large capacity or small capacity FOUP) so that, when the FOUP is seated on a pair of supports 101, the FOUP door opening will not contact or strike the supports 101 in the storage location located above.
Fig. 4A also illustrates that, in this embodiment, the FOUP's bottom plate 32 is seated on the support surface 131 of each support 124 and 126. As mentioned above, the width W3 of the bottom plate 32 is greater than the width W4 of the FOUP shell 24. The width W3 of the bottom plate 32 may comprise any length as long as the distance d4 between supports 101 is narrower thatn the width W3 of the bottom plate 32. Otherwise, the FOUP 20 could not be supported by a pair of support structures 101.
Each support structure 101 may include pins (e.g., kinematic pins) or other registration or location features that would accurately engage mating features on both the large capacity
FOUP's bottom plate 12 and the small capacity FOUP's bottom plate 32. These registration features would allow a FOUP to be placed on a pair of support structure 101 or in a storage location in an accurate and repeatable location. In one embodiment, the storage location may include two rounded pins on one support structure 101 (e.g., support 102) and one rounded pin on the other support structure 101 (e.g., support 104). The pair of support structures 102 and
104 would then include three corresponding registration features similar to the arrangement used for the kinematic pin alignment on 300mm FOUPs, which are standardized by SEMI.
Other alignment and/or registration features are also possible on each support structure 101.
These registration features would also engage a flange extending from the FOUP if the FOUP was, for example, seated in a storage location by its flange.
Fig. 4B illustrates two small capacity FOUPs 2OA and 2OB seated in two vertically adjacent storage locations. Small capacity FOUP 2OA is seated in the stocker 100 in a first storage location having support structures 124 and 126. Small capacity FOUP 2OB is seated below the small capacity FOUP 2OA, in a second storage location, on support structures 120 and 122. Both sets of support structures are horizontally spaced apart a distance d4 and are spaced vertically apart by a distance d5. The height h2 of the small capacity FOUP 2OB is less than the distance d5, creating a gap g between the top handle 26 of the small capacity FOUP 2OB and the bottom plate 32 of the small capacity FOUP 2OA seated above. The gap g provides an area whereby a robotic arm or other FOUP transfer device may operate within, for example, to grip the top handle 26 of the small capacity FOUP 2OB. Such a mechanism is well known in the semiconductor art and does not require further disclosure herein. One example of such a mechanism is disclosed in U.S. Patent No. 6,579,052, which is assigned to Asyst Technologies, Inc., and is incorporated herein by reference. Other types of mechanisms are within the scope and spirit of the present invention. If the robotic arm or FOUP transfer device engages and/or lifts the FOUP 2OB by the FOUP's bottom plate 32 or side handles 28, the gap g provides room to lift the FOUP 2OB off the supports 120 and 122 and not contact the bottom plate 32 of the FOUP 2OA stored above.
Fig. 4B illustrates that each support structure 101 may also include a feature to limit the lateral motion of the FOUP while the FOUP is seated in the storage location. For example, Fig. 4B illustrates that support structures 120 and 122 each contain an inclined inner wall 130 to prevent the FOUP 2OB from moving laterally within the storage location. The same is true for the supports 124 and 126. Each support structure 101 may also include a similar feature or wall at the front and/or rear of the support structure 101 (not shown) to limit the forward and backwards motion of a FOUP seated on a pair of support structures 101. If the support structures 101 did include front and rear walls, the front and rear vertical walls would preferably not be excessively tall because the FOUP must be lifted over one of the walls to be placed on the set of support structures 101, increasing overhead clearance requirements (e.g., increasing the minimum required height for gap g).
Figs. 4-5 illustrate that each FOUP contains a pair of side handles protruding from the side of the FOUP. The large capacity FOUP 2 includes a pair of side handles 8. The small capacity FOUP 20 includes a pair of side handles 28. The side handles 8 on the large capacity FOUP 2 are preferably lower than the side handles on a conventional FOUP (e.g., located towards the bottom of the FOUP shell). This way, the side handles 8 of a large capacity FOUP 2, when the FOUP is seated in a storage location, clears (does not contact) the set of supports 101 in the storage location located directly above. The stocker 100 may store conventional 300mm FOUPs. In that case, the vertical pitch d5 between support structures 101 would be greater than shown in Figs. 3-5 because the side handles of a conventional 300mm FOUP are located higher along the FOUP's side wall (e.g., higher on the FOUP than shown in Fig. 3).
One or more support structures 101 in each storage location may include a sensor to determine if, for example, a FOUP is seated in the storage location. This feature may be useful to prevent any type of placement or collision errors even though the robot or transfer device that moves FOUPs between storage locations may be recording which storage locations are currently occupied. A sensor on each support structure 101 could provide a cross check or confirm that the transfer device is accurately recording this information. The transfer device may also include sensors that sense the bottom placement of the container and the containers height to cross check the stored placement information and assure that the container is gripped at the correct level or that a position (or positions) is unoccupied.
Fig. 5A illustrates that the large capacity FOUP 2 includes, among other things, a FOUP shell 4, a top handle 6, a pair of side handles 8, a FOUP door 10 and a bottom flange or plate 12.
The FOUP shell 4 comprises a width W2 and a height hi. The width Wl of the bottom plate
12 is preferably greater than the width W2 of the FOUP shell 4. The depth of the bottom plate
12 may be any length as long as the bottom plate 12 adequately supports the FOUP 2 (e.g., the
FOUP 2 will not tip over when seated on the supports 102 and 104). As previously discussed above, it is also within the scope of the invention for the FOUP to not include a bottom support plate and instead include a flange (not shown) extending from the container shell. If the FOUP has, for example, a top flange, the FOUP flange would be seated on the supports in a storage location.
Fig. 5B illustrates a large capacity FOUP 2 seated in a storage location of the stocker 100 on support structures 102 and 104. The height hi of the large capacity FOUP 2 is greater than the vertical pitch d5 between the support structures 102 and 106 and the supports 104 and 108. The width W2 of the FOUP shell 4 is preferably smaller than the horizontal pitch d4 between support structures 101. Fig. 5B illustrates that container shell 4 of the large capacity FOUP 2, when the FOUP 2 is seated on the supports 102 and 104, extends between the supports 106 and 108 and does not contact either support 106 or 108. Thus, the container shell 4 extends between the supports 106 and 108, leaving a small gap between the container shell 4 and each support. Similar to the small capacity FOUPs stored in the stocker 100, a gap or empty space g is preferably located between the top of the FOUP shell 4 and the bottom plate 11 of the FOUP (small or large capacity) seated above. The gap g allows a transfer mechanism to engage the FOUP handle 6 and lift the FOUP 2 off the support structures 102 and 104. The FOUP 2 must be lifted high enough so that the bottom plate 12 clears the top of the supports 102 and 104. At the same time, the distance between the top of the side handles 8 of a seated FOUP and the supports 106 and 108 must be large enough to allow the FOUP to be lifted off the supports 102 and 104 and not strike the side handles 8 against supports 106 and 108. Figs. 6A-6B illustrate another embodiment of a large capacity container 50 seated in a storage location. The container SO includes a container shell 52, a flange 54, a top handle 62 and a pair of side handles 62. In this embodiment, the container shell 52 comprises a uniform width W5. Fig. 6B shows the container 50 with both a front opening door 56 and a bottom opening door 58 to illustrate that the storage location is suitable for any type of container. The container shell 52 comprises a height h3 and a width W5. The flange 54, which in this embodiment comprises a bottom flange, has a width W4. The flange 54 may comprise any width as long as the width W4of the flange 54 is greater than the pitch d4 between support 101. Similar to the small-capacity and large-capacity FOUPs described above, the container 50 may include a flange 54 extending from the container shell 52 at any elevation.
Fig. 6A illustrates that the width W4 of the flange 54 is preferably greater than the width W5 of the container shell 52. The depth of the flange 54 may be any length as long as the flange 54 adequately supports the container 50 (e.g., the container 50 will not tip over when seated on the supports 102 and 104).
Fig. 6B illustrates the container 50 seated in a storage location of the stocker 100 on supports 102 and 104. Similar to the large capacity FOUP 2, the height h3 of the container 50 is greater than the vertical pitch d5 between the support structures 102 and 106 and the supports 104 and 108. The width W5 of the container shell 52 is preferably smaller than the horizontal pitch d4 between supports 106 and 108. Fig. 6B illustrates that container shell 52 of the container 50, when the container 50 is seated on the supports 102 and 104, extends between the supports 106 and 108 and does not contact either support 106 or 108. Thus, the container shell 52 extends between the supports 106 and 108, leaving a small gap between the container shell 52 and each support. In a preferred embodiment, the pitch d5 between supports 101 is greater than the height h3 of the container shell 52. This way, a gap or empty space (not shown) is vreated between the top of the container shell 52 and the flange 54 of the container seated directly above. The gap allows a transfer mechanism to engage the container handle 60 and lift the container 50 off the supports 102 and 104. The container 50 must be lifted high enough so that the flange 54 clears the top of the supports 102 and 104.
It should be appreciated that the above-described stocker 100 and methods for storing and transporting FOUPs within the stocker 100 are for explanatory purposes only and that the invention is not limited thereby. Having thus described a preferred embodiment of a method and system for storing FOUPs, it should be apparent to those skilled in the art that certain advantages of the within system have been achieved. It should also be appreciated that various modifications, adaptations, and alternative embodiments thereof may be made within the scope and spirit of the present invention. For example, the stocker 100 may also be used in connection with other equipment within in a semiconductor fabrication facility, and it should be apparent that many of the inventive concepts described above would be equally applicable to the use of other non-semiconductor manufacturing applications. We claim:

Claims

Claims
1. A container storage device for storing large capacity workpiece containers and small capacity workpiece containers, each small capacity workpiece container and large capacity workpiece container includes a container shell and a flange, the container storage device comprising: a first storage location for supporting a workpiece container by its flange, said first storage location having a first support spaced apart from a second support by a predetermined distance that is greater than the width of a container shell of a large capacity workpiece container; and a second storage location for supporting the flange of a workpiece container, located above said first storage location, including a first support spaced apart from a second support by a predetermined distance that is greater than the width of a container shell of a large capacity workpiece container; wherein the vertical pitch between said first and second supports of said first storage location and said first and second supports of said second storage location is less than the height of the container shell of a large capacity workpiece container.
2. The container storage device as recited in claim 1, wherein said first support and said second support of said first storage location each include a registration feature for engaging the flange of either a large capacity workpiece container or the flange of a small capacity workpiece container.
3. The container storage device as recited in claim 1, wherein said first support and said second support of said second storage location each include a registration feature for engaging the flange of either a large capacity workpiece container or the flange of a small capacity workpiece container.
4. The container storage device as recited in claim 1, wherein said first support and said second support of said first storage location restrict the lateral movement of a workpiece container seated on said first and second supports of said first storage location.
5. The container storage device as recited in claim 1, wherein said first support and said second support of said second storage location restrict the lateral movement of a workpiece container seated on said first and second supports of said second storage location.
6. The container storage device as recited in claim 1, wherein said first storage location includes a sensor to determine whether a workpiece container is located in said first storage location.
7. The container storage device as recited in claim 1, wherein said second storage location includes a sensor to determine whether a workpiece container is located in said second storage location.
8. A container storage device for storing large capacity workpiece containers and small capacity workpiece containers, each small capacity and large capacity workpiece container including a container shell and a flange, the container storage device comprising: a first storage location including a first support and a second support horizontally spaced apart from each other by a predetermined distance, said predetermined distance being greater than the width of a container shell of a large capacity workpiece container yet able to support a workpiece container by its flange; a second storage location, located above said first storage location, including a first support and a second support horizontally spaced apart from each other by a predetermined distance, said predetermined distance being greater than the width of a container shell of a large capacity workpiece container yet able to support a workpiece container by its flange; wherein when a large capacity workpiece container is located in said first storage location, the container shell of the large capacity workpiece container extends between said first support and said second support of said second storage location.
9. The container storage device as recited in claim 8, wherein said first support and said second support of said first storage location each include a registration feature for engaging the flange of either the large capacity workpiece container or the flange of the small capacity workpiece container.
10. The container storage device as recited in claim 8, wherein said first support and said second support of said second storage shelf each include a registration feature for engaging the flange of either the large capacity workpiece container or the flange of the small capacity workpiece container.
11. The container storage device as recited in claim 8, wherein said first support and said second support of said first storage location restrict the lateral movement of a workpiece container seated in said first storage location.
12. The container storage device as recited in claim 8, wherein said first support and said second support of said second storage location restrict the lateral movement of a workpiece container seated in said second storage location.
13. The container storage device as recited in claim 8, wherein said first storage location includes a sensor to determine whether a workpiece container is seated in said first storage location.
14. The container storage device as recited in claim 8, wherein said second storage location includes a sensor to determine whether a workpiece container is seated in said second storage location.
PCT/US2007/084976 2006-11-22 2007-11-16 Variable pitch storage shelves WO2008064121A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/603,714 US20080118334A1 (en) 2006-11-22 2006-11-22 Variable pitch storage shelves
US11/603,714 2006-11-22

Publications (2)

Publication Number Publication Date
WO2008064121A2 true WO2008064121A2 (en) 2008-05-29
WO2008064121A3 WO2008064121A3 (en) 2008-08-28

Family

ID=39417116

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/084976 WO2008064121A2 (en) 2006-11-22 2007-11-16 Variable pitch storage shelves

Country Status (3)

Country Link
US (1) US20080118334A1 (en)
TW (1) TW200835639A (en)
WO (1) WO2008064121A2 (en)

Families Citing this family (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9142437B2 (en) * 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
US10217983B2 (en) 2013-07-26 2019-02-26 Lg Chem, Ltd. Cross-linked compound particle and secondary battery including the same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102127113B1 (en) * 2013-10-29 2020-06-29 삼성전자 주식회사 Cassette transfer apparatus and cassette transferring method using the same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1994007776A1 (en) * 1992-10-02 1994-04-14 Bellheimer Metallwerk Gmbh Warehouse storage shelving
US20050122504A1 (en) * 2003-11-13 2005-06-09 Rice Michael R. Monitoring of smart pin transition timing

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1959001A (en) * 1933-06-13 1934-05-15 Nat Biscuit Co Display fixture
US4544068A (en) * 1983-08-16 1985-10-01 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Laboratory glassware rack for seismic safety
KR930002562B1 (en) * 1986-11-20 1993-04-03 시미즈 겐세쯔 가부시끼가이샤 Dust tight storage cabinet apparatus for use in clean rooms
US4775281A (en) * 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US4986715A (en) * 1988-07-13 1991-01-22 Tokyo Electron Limited Stock unit for storing carriers
JPH03177206A (en) * 1989-12-02 1991-08-01 Sumitomo Rubber Ind Ltd Taking-out/storing method and equipment for article
US5284412A (en) * 1990-08-17 1994-02-08 Tokyo Electron Sagami Limited Stock unit for storing carriers
US5570990A (en) * 1993-11-05 1996-11-05 Asyst Technologies, Inc. Human guided mobile loader stocker
JP3344850B2 (en) * 1993-12-28 2002-11-18 株式会社リコー Parts supply device
US5713711A (en) * 1995-01-17 1998-02-03 Bye/Oasis Multiple interface door for wafer storage and handling container
EP0735573B1 (en) * 1995-03-28 2004-09-08 BROOKS Automation GmbH Loading and unloading station for semiconductor treatment installations
JPH10142293A (en) * 1996-11-12 1998-05-29 Advantest Corp Ic tester
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5980183A (en) * 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
NL1006461C2 (en) * 1997-07-03 1999-01-05 Asm Int Storage assembly for wafers.
US6579052B1 (en) * 1997-07-11 2003-06-17 Asyst Technologies, Inc. SMIF pod storage, delivery and retrieval system
JPH1159829A (en) * 1997-08-08 1999-03-02 Mitsubishi Electric Corp Semiconductor wafer cassette conveyer, stocker used in semiconductor wafer cassette conveyer, and stocker in/out stock work control method/device used in semiconductor wafer cassette conveyer
JPH11168135A (en) * 1997-12-03 1999-06-22 Toshiba Corp Substrate storing equipment and substrate storage method
NL1009327C2 (en) * 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
US6042324A (en) * 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6739820B2 (en) * 2001-01-16 2004-05-25 Taiwan Semiconductor Manufacturing Co., Ltd Stocker apparatus with increased input/output capacity
US6516243B2 (en) * 2001-01-16 2003-02-04 Taiwan Semiconductor Manufacturing Co., Ltd Stocker apparatus affording manual access
US6592318B2 (en) * 2001-07-13 2003-07-15 Asm America, Inc. Docking cart with integrated load port
JP2003092345A (en) * 2001-07-13 2003-03-28 Semiconductor Leading Edge Technologies Inc Substrate container, substrate transport system, storage device and gas substituting method
US6773081B2 (en) * 2001-07-27 2004-08-10 Yuyama Mfg. Co., Ltd. Cabinet for conveying medicine
US6726429B2 (en) * 2002-02-19 2004-04-27 Vertical Solutions, Inc. Local store for a wafer processing station
US7175034B2 (en) * 2002-06-14 2007-02-13 Harbor Industries, Inc. Modular, adjustable display rack
US7039499B1 (en) * 2002-08-02 2006-05-02 Seminet Inc. Robotic storage buffer system for substrate carrier pods
US7780392B2 (en) * 2005-10-27 2010-08-24 Muratec Automation Co., Ltd. Horizontal array stocker
US8308418B2 (en) * 2006-05-09 2012-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. High efficiency buffer stocker
US20080006559A1 (en) * 2006-07-07 2008-01-10 Entegris, Inc. Substrate carrier and handle
US9033638B2 (en) * 2007-04-17 2015-05-19 International Business Machines Corporation OHT accessible high density stocker and method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1994007776A1 (en) * 1992-10-02 1994-04-14 Bellheimer Metallwerk Gmbh Warehouse storage shelving
US20050122504A1 (en) * 2003-11-13 2005-06-09 Rice Michael R. Monitoring of smart pin transition timing

Also Published As

Publication number Publication date
TW200835639A (en) 2008-09-01
WO2008064121A3 (en) 2008-08-28
US20080118334A1 (en) 2008-05-22

Similar Documents

Publication Publication Date Title
US20080118334A1 (en) Variable pitch storage shelves
US20080152466A1 (en) Loader and buffer for reduced lot size
US7914248B2 (en) Methods and apparatus for repositioning support for a substrate carrier
US7780392B2 (en) Horizontal array stocker
EP1028905B1 (en) Integrated intrabay buffer, delivery, and stocker system
TWI508217B (en) Substrate processing apparatus
US20210057255A1 (en) Automatic handling buffer for bare stocker
US20080187414A1 (en) Method and apparatus for supplying substrates to a processing tool
US7704031B2 (en) Substrate processing apparatus
WO2000022653A1 (en) Sorting/storage device for wafers and method for handling thereof
WO2003043060A2 (en) Reduced footprint tool for automated processing of substrates
US7934898B2 (en) High throughput semiconductor wafer processing
EP2245656B1 (en) Automatic handling buffer for bare stocker
US11261024B2 (en) High density stocker
US20020153578A1 (en) Wafer buffering system
US20090022574A1 (en) Workpiece loading system
KR100426810B1 (en) Wafer carrier
JP2023174503A (en) Article storage apparatus and article storage method
EP1156515A1 (en) Arrangement for shipping and transporting disc-like objects
EP1460676A2 (en) Reduced footprint tool for automated processing of microelectronic substrates

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07864533

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07864533

Country of ref document: EP

Kind code of ref document: A2