TW200533776A - Showerhead mounting to accommodate thermal expansion - Google Patents

Showerhead mounting to accommodate thermal expansion Download PDF

Info

Publication number
TW200533776A
TW200533776A TW094105457A TW94105457A TW200533776A TW 200533776 A TW200533776 A TW 200533776A TW 094105457 A TW094105457 A TW 094105457A TW 94105457 A TW94105457 A TW 94105457A TW 200533776 A TW200533776 A TW 200533776A
Authority
TW
Taiwan
Prior art keywords
sprinkler head
scope
patent application
item
upper wall
Prior art date
Application number
TW094105457A
Other languages
English (en)
Other versions
TWI290962B (en
Inventor
John M White
William Norman Sterling
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200533776A publication Critical patent/TW200533776A/zh
Application granted granted Critical
Publication of TWI290962B publication Critical patent/TWI290962B/zh

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16BDEVICES FOR FASTENING OR SECURING CONSTRUCTIONAL ELEMENTS OR MACHINE PARTS TOGETHER, e.g. NAILS, BOLTS, CIRCLIPS, CLAMPS, CLIPS OR WEDGES; JOINTS OR JOINTING
    • F16B35/00Screw-bolts; Stay-bolts; Screw-threaded studs; Screws; Set screws
    • F16B35/04Screw-bolts; Stay-bolts; Screw-threaded studs; Screws; Set screws with specially-shaped head or shaft in order to fix the bolt on or in an object
    • F16B35/041Specially-shaped shafts
    • F16B35/044Specially-shaped ends
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D19/00Pallets or like platforms, with or without side walls, for supporting loads to be lifted or lowered
    • B65D19/38Details or accessories
    • B65D19/385Frames, corner posts or pallet converters, e.g. for facilitating stacking of charged pallets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Fire-Extinguishing By Fire Departments, And Fire-Extinguishing Equipment And Control Thereof (AREA)
  • Nozzles (AREA)

Description

200533776 【先 係由 件上 為希 體, 之喷 該噴 出鄰 電源 頭和 接0 ......麵議纏_1_ 義謹I 議: 前技術】 電子裝置,例如平面顯示器及積體 €路,其製造通常 一連串沉積製程步驟,其中沉積層係 g 1承鉍沉積在一工作 ’以及蝕刻製程步驟,其中所沉積 谓 < 材料係經蝕刻成 望圖案。此類製程通常係在真空反應 、至1f執行。 通常’沉積或蝕刻製程之化學反應劑 阳包含一或多種氣 共同地稱為製程氣體,其係藉由位於該工作件正上方 灑頭分散至該真空反應室中。製程氣體係藉由散佈在 灑頭表面上之幾百個或幾千個小孔來分散,以便製造 近該工作件之希望的製程氣體空間分佈。 在一電漿製程中,也可將該喷灑頭電氣連接至一射頻 供應器,以便產生電極之作用。在此情況,在該喷灑 該射頻電源供應器之間必須提供可靠的低阻抗電氣連 此種射頻供應電力之喷灑頭在8/8/89頒予Chang等人 φ 之共同讓渡的美國專利第4,854,263號中有敘述。 一般來說,該工作件基本上是平坦的,並且該喷灑頭 具有一面向該工作件之基本上也是平坦的表面(在此稱為 " 噴灑頭之「前表面」)。或者,該噴灑頭之前表面可具有一 讀 稍微凸出或凹陷之變曲,其目的係使在該工作件上執行之 沉積或蝕刻製程的空間均勻性最大限度化。 該喷灑頭一般會由在該真空反應室中執行之製程加 熱。在非電衆製程中,該工作件係利用一些方法加熱,例 3 200533776 如電阻加熱或輻射加熱,其一般會產生一些熱傳輸至該噴 瀵頭。在電漿製程中,該電漿係一額外之熱源。 加熱通常會導致喷灑頭熱膨脹,其可扭曲喷灑頭前表 面之原始外形(即平坦或彎曲)。此種扭曲是不佳的,因為 其會降低在該工作件上執行之製程的空間均勻性。
若該噴灑頭之周邊係固接在該真空反應室壁上,則噴 讓頭前表面之平坦或彎曲之扭曲特別容易發生,如在許多 習知設計中一般。因為周邊是固定的,該噴灑頭之熱膨脹 會導致喷灑頭表面彎曲。 此喷灑頭前表面之平坦或彎曲之因應熱膨脹而產生之 扭曲問題之一解決辦法是利用一撓性薄板來安裝該噴麗 頭’上面所述請參照專利申請案第09/922,219號中之敘 述,本發明在其下主張優先權。 在處理一撓性薄板時需要一些關注以免損傷到該薄 板。因此,存有對於較容易處理之另一種設計之需要。 【發明内容】 在本發明之第一組態中,於較佳實施例之實施方式之 第二及三部份描述,該喷灑頭或喷灑器係藉由將該喷丨麗頭 邊緣安置在一支架上來支撐。該喷灑頭邊緣可在該支架表面 上自由滑動,因此使該喷灑頭可以因應溫度改變而產生徑向 膨脹或收縮,並且具有很低或完全沒有應力及弯曲力 (bowing force),若該喷灑頭固定地、非滑動地安裝在該反 應室時會發生應力或彎曲力。因此,本發明可以最小化或消 除起因於膨脹或收縮之喷灑頭前表面的任何外形(即平垣或 4 200533776 彎曲)扭曲。 述,兮喰;發蒱总始 “貝犯万式之第四部份中描 述該喷灑頭係藉由複數個掛 複數掛釣椋益Λ 吊在該反應室壁上,銷該 複數掛鉤係藉由可以在狹 應f壁、^1¾去 π動之銷連結該嘴灑頭、該反 應至壁、或兩者,以使該等 頭在徑向上之熱膨脹。 h以後向滑動以容納該嘴* 述,
在本發明之第三組態中 該喷灑頭係藉由複數個 ’於實施方式之第五部份中描 撓性線懸吊在該真空反應室壁 在本發明之第能士 、十、一 第四、,且態中,於實施方式之第六部份中描 述’該喷灑頭伤鉉山7 、《由複數個支桿懸吊在該真空反應室壁 ^ 支桿之上端係藉由一第一連接關節安裝在該真 ,至·而每一個支桿之下端係藉由一第二連接關節 5喷灑頭上。該等連接關節使該等支桿可以因應該 喷灑頭之熱膨脹而旋轉。 在本發明之第五組態中,於實施方式之第七部份中描 述’該喷魏頭係在接近其周邊處連結熱膨脹係數比該喷灑頭 大之第二材料。雖然該第二材料之加熱程度通常不會像該喷 灑頭一樣多’但其較大之熱膨脹係數可使其因應來自該反應 室中執行製程的熱而產生之膨脹量大約與該喷灑頭相當。此 安裝該喷灑頭之設計可容納喷灑頭之熱膨脹而不需要滑動 或撓性懸吊。 述, 在本發明之第六組態中,於實施方式之第八部分中描 一加熱器係經安裝在該喷灑頭後方。該加熱器之一優 5 200533776 點是提升該喷灑頭之溫度可減少從該工作件至 熱傳輸。該加熱器之另一個優點是其可避免誃 表面因為來自該反應室中執行製程的熱傳輸而 灑頭之後表面熱,因此減少或去除該前表面因 表面間之溫度差異而起之弯曲。 【實施方式】 ^ 1.電漿反應室概觀 _ 第1圖示出包含根據本發明之一實施例懸 之真空反應室,其中與該喷灑頭連結之掛鉤係 應室壁連結之支架上自由滑動。在描述該新 前,將先描述該真空反應室之其他構件。 該真空反應至之目的係對一工作件或基板 化學製程,該化學製程係半導體或其他電子元 件上製造半導體或其他電子元件之一系例步驟 驟。該工作件係以一工作件支撐12支撐在該及 稱為一炎盤(chuck)或晶座架(suscept〇r)。在該 φ 行加工處理之工作件10的一般例子包含在其 顯示器之矩形玻璃基板或在其上製造積體電路 體晶圓。 一 該真空反應室具有提供該反應室内部真空 ^ 外罩或反應室壁。在例示實施例中,該反應室 壁的實施係一單一壁14。由一裝設鉸鏈之上蓋 氣岐管上壁18提供該反應室壁之上半部份。操 由升起該上蓋16來接達該反應室内部^ 〇型稽 該噴灑頭之 喷灑頭之前 變得比該喷 為該前及後 吊之噴灑頭 可在與該反 穎的懸吊之 1 0施行— 件在該工# 中的一個步 I應室中,也 反應室中進 上製造平面 之圓形半導 密封空間之 之側壁及底 1 6及一進 作人員可藉 ' 1 9(某些未 200533776 示出)提供該側壁14、上蓋16、以及進氣岐管上壁18間之 真空密封。該側壁及底壁14、上蓋16、以及進氣岐管^壁 1 8都被認為是該反應室壁之一部分β 當在此專利申請書中參照反應室之一構件之不同侧或 表面時,我們使用「前」及「後」兩詞來分別代表面兩該 工作件及遠離該工作件之側或表面。例如,該工作件支撐 12之上表面稱為該工作件支撐之前表面,而該噴濃頭22 之下及上表面分別稱為喷灑頭之前表面23和喷濃頭之後 表面。 在執行一製程以在該工作件上製造半導體或其他鼇子 元件時’一或多種氣體係藉由一進氣岐管分散至該反應窒: 中《該進氣岐管包含該進氣岐管上壁18,氣體係藉由其闥 進入該進氣岐管,以及一喷灑頭22(也稱為噴灑器或氣饉 分配板),氣體從該進氣岐管藉由其間流至該電漿反應室内 部。該進氣岐管更包含一進氣岐管侧壁,也稱為氣艘密封 側壁’其提供延伸在該上壁1 8和該喷灑頭22間之氣艎密 封件。該進氣岐管上壁、側壁及喷灑頭共同包圍一稱為進 乳岐官之内部區域或充滿空間(plenuni)26之體積。 一外部氣體來源,未示出,供應製程氣體至該進氣岐 瞥上壁18之至少一進氣孔28,該製程氣體藉由其間流至 滚進氣岐管之充滿空間26内❶該製程氣體然後藉由一或多 菌,通常是幾百個或幾千個,喷灑頭22内之出氣孔3〇從 亥充滿空間流至該反應室内部。 在第1 -5圖之實施例中,該進氣岐管之氣體密封側壁 7 200533776 主要係由覆蓋該反應室上蓋 16内表面之介電内襯 (dielectric liner)24構成。在第6圖之另一實施例中,該進 氣岐管側壁主要係由將在下面描述之掛鉤70構成。在第 12-14圖和17-20圖之各實施例中,一撓性板88係作為該 進氣岐管之氣體密封側壁。
該進氣岐管側壁應提供足夠之氣密式密封,亦即足夠 之漏氣阻抗,因此藉由該進氣孔2 8流入該充滿空間之大部 分氣體會藉著流經該喷灑頭出氣孔3 0而非藉著滲漏通過 該進氣岐管側壁之縫隙的方式進入該真空反應室内部。可 接受之漏氣量取決於在該工作件上執行之製程,但在大部 分製程中,漏氣應少於10%。亦即,應該有少於1〇%(十分 之一)之藉由該進氣孔28進入該充滿空間之氣體漏出該進 氣岐管側壁,因此應至少有90%之氣體會藉由該出氣孔30 分散至該真空反應室中。最差的情況是,應有不多於40% 之進入該充滿空間之氣體漏出該進氣岐管側壁。 一習知真空幫浦,未示出,維持該反應室内之預期真 空水準,並藉由一環狀排氣細縫32從該反應室將該製程氣 體和反應產物排出,然後進入環狀排氣充滿空間3 3,隨後 穿過一排氣通道,未示出,至該幫浦。 在例示較佳實施例中,該噴灑頭22係一 3公分厚之鋁 板。最好是其具有足夠的厚度以使其在大氣壓下不會顯著 變形,當在該反應室中產生真空時。 在此種反應室中執行之某些類型之工作件製造製程, 例如熱化學氣相沉積(熱CVD)製程,係在電漿不存在下執 8 200533776 行。許多其他製程,例如電漿輔助化學氣相沉積(PECVD) 或電漿蝕刻製程,則需要電漿。真空反應室之目的係使用 於電漿製程時稱為電漿反應室。
4 在某一類型之電漿反應室中,係藉由連接射頻(RF)電 源供應器至該反應室内之電極來有效地耦合電力至該電 漿,用以在該反應室内產生或維持電漿,。在此種電漿反 庳室中,該喷灑頭一般係作為電極之一,藉由連接至該電 接地之反應室壁,或是藉由與該反應室壁電絕緣並且與射 頻電源供應器之一未接地(射頻電壓(RF hot))輸出電氣連 接。因此,提供該噴灑頭具有足夠導電度和可靠度之電接 觸是重要的,以傳導高水準之射頻電力,一般係千瓦級。 該喷灑頭内出氣孔30之直徑應小於電漿暗區(dark space)之寬度,以防止電漿反應室内之電漿進入該充滿空 間26,即該進氣岐管介於該喷灑頭22和該上壁或該進氣 岐管之上壁18間之内部區域。該暗區之寬度,因而該出氣 孔之最大直徑’取決於反應室壓力及欲在該反應室中執行 之特定半導體製造製程之其他參數β或者,為執行使用特 別難溶解之反應劑氣體之電漿製程,可能偏好運用具有窄 的入口及較寬、向外展開之出口之孔洞,上面所述請參照 頒予Chang等之美國專利第4,854,263號中之敘述。 最好疋該進氣岐管也包含一進氣導流板,其係由直徑 稍大於進氣孔28並利用支柱,未示出,懸吊在進氣孔下方 之圓盤34構成。該導流板阻擋氣體從該進氣孔28以直線 路徑流至直接鄰接之該喷灑頭中央之出氣孔3〇,因此輔助 9 200533776 平等化穿過喷灑頭中央及邊緣之各個氣體流速。 在進氣岐管上壁及喷灑頭是射頻電壓(RF h〇t)之應用 中,介電内襯24、35係安裝在該等射頻電壓構件及電接地 之反應室上蓋16間。為了使電漿集中在工作件支撐12和 ^ M喷灑頭間之反應室區域内。反應室内接近該喷灑頭或該 續 工作件支撐之其他金屬表面一般係以介電内襯覆蓋。例 如,第1圖示出覆蓋該反應室上蓋16底側之介電内襯36 | 及覆蓋該反應室側壁14之介電内襯38。 一般會在該反應室上蓋16頂部裝設一覆蓋體39,以 防止操作人員意外地接觸到射頻電壓上壁1 8或喷灑頭。在 此不會進一步討論該覆蓋體39 ,因為該覆蓋體對於所討論 之其他反應室構件之功能來說並不重要。 該等反應室構件應該由不會污染將在該反應室内執行 之半導體製造製程並且可抵抗製程氣體腐蝕之材料組成。 銘是我們對於所有構件之最佳材料選擇,除了 〇型環和介 電間隙壁和内襯之外,其將在下面討論。 Φ 習知電襞CVD及蝕刻反應室之設計及操作在如下共 同讓渡之美國專利中描述,其中全文以引用的方式併入本 專利說明書中··於12/1/98頒予White等人之美國專利第 • 5,844,205號;以及於8/8/89頒予chang等人之美國專利 4 第 4,854,263 號。 2·喷灑頭之滑動支撐 如在上面標題為「先前技術」部份中所註者,來自在 10 200533776 反應室中執行製程的熱會導致喷灑頭22熱膨脹。若該喷灑 頭係固定且無彈性地安裝在該反應室壁18上,此熱膨脹可 導致該喷丨麗頭彎曲,因而使該喷灑頭之上表面或下表面23 變形,即,因而使該下表面之平坦或彎曲度改變或扭曲。
第1 -3圖描繪出此問題之一解決方法,其係將喷灑頭 之周邊52安置在一喷灑頭支架54上來支撐該喷灑頭,因 此該噴灑頭邊緣可在該支架上表面上自由滑動。所以當該 噴灑頭因應自身溫度改變而徑向膨脹及收縮時,該喷灑頭 邊緣分別在該支架上向外及向内滑動《此滑動之自由基本 上消除了因應此種徑向熱膨脹及收縮而產生在喷灑頭上之 應力。 支架54可安裝在真空反應室中能夠支撐該噴灑頭重 量之任何部分上。最好是該支架係直接或非直接安裝在該 反應室壁1 8上。在例示較佳實施例中,該支架係覆蓋該上 蓋16内表面之介電内襯24向内突出之延伸部分。 如在美國專利第6,477,980號中所描述,本專利申請 案在其下主張優先權,偏好最小化從該喷灑頭22周邊至該 真空反應室之該喷灑頭直接或非直接安裝在其上之任何冷 構件(例如反應室上蓋1 6及反應室側壁14)之熱傳輸。詳 言之,在該真空反應室中安裝並支撐該喷灑頭之結構最好 是在該喷灑頭及此類冷反應室構件間置入高熱阻抗。最小 化此種熱傳輸至少在兩個原因上是有利的:第一,以最大 限度化喷灑頭之溫度以便最小化從該工作件至該喷灑頭之 熱流失;以及第二,藉由使該喷灑頭周邊之熱流失快於該 11 4 200533776 喷灑頭中央之熱流失的程度最小化,來使該喷灑頭下表面 之溫度的空間均勻性最大限度化, 在本發明中應用此原則’該支架54或介於該支架及任 何反應室之大型、冷構件(例如該反應室上蓋16及反應室 側壁1 4)間之任何構件最好是在該噴灑頭及該等冷構件間 置入高熱阻抗。在第3圖之例示實施例中,這是利用熱傳 導性低之介電材料來製造該介電内襯24及其整合支架54 | 來達成’因此該介電内襯及支架在該喷灑頭及該反應室上 蓋1 6間置入高熱阻抗。 如在前面第1部分中所註,一或多種製程氣體係藉由 該進氣岐管分散至該反應室内。該進氣岐管包含該進氣岐 管上壁18、一喷灑頭22(也稱為喷灑器或氣體分配板)、以 及延伸在該上壁1 8及該喷灑頭間之氣體密封側壁,因此該 進氣岐管側壁、上壁及喷灑頭共同包圍一稱為充滿空間26 或進氣岐管之内部區域之體積。在第1-5圖之實施例中, 該進氣岐管側壁主要是由該介電内襯24、該支架54、及該 P 喷灑頭邊緣52構成。 如也在前面第1部分中所註,該進氣岐管之氣體密封 側壁應提供足夠之氣密式密封,因此藉由該進氣孔流入 - 該充滿空間之大部分氣體會藉著流經該噴灑頭出氣孔30 4 而非藉著滲漏通過該進氣岐管側壁之縫隙的方式進入該真 空反應室内部。因此,該喷灑頭邊緣52和該噴灑頭支架 54不應有過多製程氣體可從該充滿空間藉由其間漏出之 過大之縫隙,無論是在任何一個組件内或在鄰接組件間。 12 200533776 最好是有少於10 %(十分之一)之藉由該進氣孔28進入該充 滿空間之氣體漏出該進氣岐管侧壁,因此應至少有,90%之 氣體會藉由該出氣孔30分散至該真空反應室中。所以,前 述縫隙之合併剖面面積(「側壁縫隙面積」)最好是低於該 喷灑頭出氣孔最狹窄部份之合併剖面面積(「喷灑頭孔洞面 積」)之10%(十分之一)。最差的情況是,該側壁縫隙面積 應小於該喷灑頭孔洞面積之40%。
該邊緣及該支架兩者皆可製作為一連續組件,或者可 以製作為緊密鄰接之多個組件以產生充足之氣密式密封。 例如,第3圖示出作為一連續組件之喷灑頭邊緣5 2,而該 支架54從其突出之介電内襯24有四個分開之組件,其分 別覆蓋該矩形反應室上蓋16之四個内表面。該喷灑頭邊緣 52可經加工為該喷灑頭之一整體的一部分,或者其可以是 具有一開放式中心之裝設在接近噴灑頭周邊處之矩形框 架。 第4圖示出此設計如何可以適用在圓柱狀而非矩形之 喷灑頭22上。在此實施例中,該喷灑頭邊緣52、喷灑頭 支架54、以及介電内襯24皆為圓柱狀,並且與該喷灑頭 22同心。 為提供該喷灑頭可靠的、高導電度的射頻電氣連接, 可將一射頻電纜56(稱為上電纜)與該進氣岐管上壁18電 氣連接,並且可將一第二射頻電纜57(稱為下電纔)直接連 接在該上壁18及該喷灑頭間,如第2圖所示者。 在該喷灑頭邊緣52及該喷灑頭支架54間之滑動接觸 13 4 200533776 上 54 在 邊 36 54 上 動 球 J 頭 架 捕 物 和 在 〇 狀 頭 之摩擦會磨損該等接縮矣 今擇觸表面而產生微粒。覆蓋該反應室 蓋1 6底側之介電內細q 6界 ^襯36最好是至少部份延伸至該支架 下方’以便捕捉大jjjt卩八$ % 八4分或所有此種微粒,以防止其掉落 該工作件10上。選埋祕从 ^ ^ 避擇性地,該喷灑頭可包含位於該第一 緣52下方之第二周诸# 巧邊或溝槽58,以便捕捉該介電内襯 可能漏掉之微粒。 為了降低該噴灑頭邊緣52下表面和該喷灑頭支架 》 上表面間之摩擦及磨損,可在這些表面之任一者或兩者 裝认光滑的、低摩擦的滑動件或轴承61。例如,該滑 件可以是一滑桿或是一或多個嵌入在這些表面之一内之 體。選擇性地’該滑動件可安裝在此種表面中之插座内 其使該滑動件可以在該插座中自由滾動。 第5圖不出第2圖設計之另一種版本,其中該噴灑 支架54内端具有一向上延伸之唇狀物62,以確保該支 和該喷灑頭邊緣5 2間因磨損產生之微粒會被該支架 捉,因此不會落到該工作件上。為了提供該支架之唇狀 • 62餘隙,該喷灑頭邊緣52外端應具有一周邊唇狀物64 其向下延伸量至少比該支架唇狀物62之高度稍微大 些’因此該喷灑頭唇狀物64之下端是該喷灑頭邊緣52 - 該支架54間唯一的接觸點。該喷灑頭唇狀物64應安置 碥 該支架唇狀物62徑向外部之該支架54面向上之表面上 最好是一如上所述之滑動件6 1係經裝設在該嘴灑頭唇 物64下表面上。 為了防止該支架之高度提升之唇狀物62在該噴麗 14 200533776 向外滑動時撞擊該喷灑頭侧邊,並因而產生微粒,該嘴麗 頭邊緣52之徑向寬度最好是大於該支架之徑向寬度。更詳 β之’該喷》麗頭邊緣之唇狀物64應該徑向地向外與該喷灑 頭側邊隔開一大於該喷灑頭唇狀物64沿著其滑動之該支 架上表面之徑向寬度之量。 3·掛鉤從上壁懸雨喷灑頭支架 | 第6圖示出另一實施例,其係與第5圖之實施例相同, 除了該喷灑頭支架54並沒有與該介電内襯24連接之外。 反之,該喷灑頭支架54係由一掛鉤70從該進氣岐管上壁 1 8懸吊下來。第6圖實施例以與第ι-5圖實施例相同的方 式容納該噴灑頭之徑向熱膨脹,利用使該喷灑頭邊緣52 可以在其所安置之該支架54表面上滑動。除了下述差異 外’關於第1 - 5圖實施例所討論之所有變形及設計考量也 可以應用在第6圖實施例中。 若該上壁18、掛鉤70、支架54、滑動件61、以及噴 ® 灑頭邊緣皆由例如鋁或其他金屬之導電材料組成,則這 些構件會將該上壁18與該喷灑頭22電氣連接,以可靠地 從該上壁將射頻電力傳導至該喷灑頭。安置在該支架上之 嘴丨麗頭的重量對該喷灑頭邊緣和該支架間之接觸區處達到 4 一可靠的、高導電度的電氣連接係有幫助的。因此,可能 不需要在該上壁和該喷灑頭間連接一分離之電纜,如在第 2圖實施例中者。 不像在美國專利第6,4 7 7,9 8 0號中所述之撓性懸吊, 15
A 200533776 本專利申請案在其下主張 的,糸坊噜、'發t 掛鉤70不需要是撓 的因為該噴灑碩邊緣可在該主举 άΓ叙兮辟1δ /、上自由滑動。該掛鉤 可與該上壁18 —起製作成 的、整體的组件,式 可以是用螺拴固定、或者安!體I旦忏次 上壁18上之分離組科 如在刖面第2部分中所解釋 〜』 汀解釋者,該進氣岐管最好是 供足夠之氣密式密封,以防止過 呢1之製程氣體從該充滿 間26漏出。如上所註,過量 属軋取決於在該工作件上 行之製程’但幾乎在所有應时,漏氣量應低於40%, 且最好是低於10%之流動通過該噴灑頭出氣孔3〇之 體。在第6圖實施例中,其中一谢糾 r掛釣70將該喷灑頭支架 該進氣岐管上壁18連接,胃掛鉤7〇作用為該進氣岐管 側壁,連同該喷灑頭支架54以及嘴讓頭邊緣52。因此 這些構件之每-個最好是不應該有實質上之縫隙,無論 在任何一個構件内或在相鄰構件間,多於上述份量之製 氣體可藉由該縫隙從該充滿空間漏出。任何這些構件皆 製作為一連續組件’或者可製作為緊密鄰接之多個組件 產生足夠之氣毯、。兩種製造方法實例皆在上面第2部分 提供。 如在第1 - 5圖實施例之时論中所解釋者,在該真空 應室中安裝並支撐該喷潔•頭之結構最好是在該嗔麗頭及 類冷反應室構件間置入南熱阻抗。在具有掛鉤7 0之第 圖實施例中,這可藉由最大限度化該掛鉤在該喷灑頭和 上壁1 8間置入之熱阻抗來達成。可利用將掛鉤製作得非 薄或利用例如不鏽鋼之低熱傳導性材料來製造該挂卜鉤等 性 70 者 〇 提 空 執 並 氣 與 之 是 程 可 以 中 反 此 5 該 常 方 16 200533776 式來最大限度化此熱阻抗,不繡鋼之熱傳導性比大1八 他適於在一電漿反應室中使用之導電體低。我們的較2 施例運用前一個方法,即將該掛鉤製作得夠蒱 h〜4 ,因此其 有希望的低熱傳導性。我們建議利用厚度為〇 ^ 汁又,3毫米或 薄,最好是1毫米或更薄之鋁板來製作該掛釣70。 4.具有在狹縫中滑動之銷之掛鉤 第7-9圖示出該喷灑頭22藉由一懸吊裝置從該進氣 管上壁1 8懸吊下來之實施例,該懸吊裝置包含複數個利 可在狹縫82中滑動之銷81裝設在該喷灑頭及上壁18上 掛鉤8 0,因此該等掛鉤可以徑向滑動以容納該喷灑頭在 向上之熱膨脹。 該喷灑頭22上表面具有在接近該喷灑頭周邊之周 分散位置處之複數個狹縫82,如第7圖所示者β每一個 縫之最長尺寸(長度)以徑向相對於該喷灑頭之縱軸5 5 伸(見第1和7圖雖然該等狹縫可製作在該喷灑頭主 中’但將該等狹縫製作在該喷灑頭向外延伸之邊緣78上 較容易,如第7-9圖所示者。 在例示之矩形喷灑頭中,該喷灑頭具有一狹縫鄰接 上表面四個角之每一個,以及一狹縫在四個角的每一個 之中點處。或者,該噴灑頭可包含較多或較少之狹縫, 如只有四個鄰接該等角之狹縫,或者只有在該等角間之 點處之四個狹縫,或是只有在該喷灑頭上表面之相反側 置之兩個狹縫,或只有三個在周邊上分散之狹縫。 其 實 具 更 岐 用 之 徑 圍 狹 延 體 比 其 間 例 中 位 17 200533776 對於喷灑頭内之每一個狹縫82來說,一相應之掛 80係經提供以延伸通過該狹縫之銷81。從該銷81底部 向延伸出去之肩部83係比該狹缝之橫向寬度寬,即該狹 之尺寸與該喷灑頭之方位或周邊平行。因此該肩部 嘴合並支撐該嗔灑頭之部分85底部,其橫向地形成該狹 界線,如第9圖所示者(我們參照該喷灑頭橫向形成該狹 界線之作為該狹縫侧壁8 5之部分)。 每一個銷81及其肩部83可具有任何剖面形狀,例 圓形或矩形剖®。例如,每一個銷皆可實施為具螺紋之 栓,而每一個銷之肩部可以是該螺拴之頭部。 如方才所述般直接嚙合並接觸該喷灑頭之掛鉤8〇 稱為第一或最底「層」之掛勾。在一可能之實施例中, 懸吊裝置只包含單一一層掛鉤,在此情況中每一個掛鉤 應足夠高以在該喷灑頭2 2和該上壁1 8間延伸。 更佳地,該懸吊裝置包含多層掛鉤8〇,如第8和9 所示般’因此若層數係一整數N,每一個掛勾之高度大 是該喷灑頭和該上壁間之距離除以N。在例示實施例中 該喷讓頭和該上壁間有兩層掛鈞;即,N==2。 每一個掛鉤80之上表面皆具有一狹縫82,其具有 喷灑頭内相應之狹縫相同之方位。詳言之,每—個掛釣 狹縫之最長尺寸之方位係與該噴灑頭之半徑平行,即與 喷灑頭之縱軸55(第1圖)垂直。直接在該狹縫82下方 與其鄰接,每一個掛鉤也具有比該銷81之肩部寬之 伸通過該狹缝之溝槽84,以在該銷於該狹縫内滑動時提 鉤 橫 缝 83 縫 縫 如 螺 係 該 皆 圖 約 與 的 該 且 延 供 18 200533776 該肩部83可滑動通過之空腔。 該進氣岐管上壁18$ 主 $ U之下表面包含一些數量盥 掛鉤80相同之向下突" 卜犬出之銷81。該等銷Si係位在接近該 上壁周邊之周圍分散位置, 丧迎这 且L4此该上壁丨8上之分別的 合該最頂層中之每一個掛釣。每一 母個掛鉤的下表面也具有 一向下突出之銷81。該上壁 有 〈母個銷81以及該等掛鉤
的每一個銷81皆具有如上胼、+、★ * * ^ J 、力如上所述之肩部8 3 〇
該上壁18之每-個銷皆穿過其相對應掛釣8〇之狹縫 82。我們意指每-個掛釣的狹缝如同具有侧壁”,類似該 喷灑頭之每一個狹縫之側壁8 側璧85亦即,我們參照橫向形成 該狹縫82界線,並且垂直懸 且?於該溝槽84上方,作為該狹 縫側壁85之掛鉤的部分。备一 母 個掛鉤的狹縫側壁8 5安置
在通過該狹缝之該銷81 $启Αβ L 鋼81之肩部83上,因為該銷之肩部係 比該狹縫之橫向寬度寬,如第 _ ^戈弟9圖所不者0因此,該銷及 肩部支撐該狹縫之侧壁8 5,JL Μ & 4 g J雙以其轉而支撐其係身為一部份之 掛鉤。 同樣地,若有多於一層之掛鉤,纟該最底層上方之一 或多層中之每一個掛鉤支撐在其下方之掛鉤,因為每一個 此種掛鉤皆具有穿過直接位於其下方之掛鉤的狹縫之銷, 並且每一個銷皆具有比直接位於其下方之掛鉤的相應狹縫 82之橫向寬度寬的肩部83。 因此,最底層之掛鉤的肩部及銷共同支撐該喷灑頭之 整個重量。該上壁之肩部及銷共同支撐最頂層掛鉤的重 量。除了最底層外之每一層掛鉤的肩部及銷共同支撐直接 19 200533776 位於其下方之下一層掛鉤的重量。 因為銷及狹縫使每一個掛鉤可以相對於下方之喷灑頭 以及上方之進氣岐管上壁做徑向滑動,因此該喷灑頭可因 應溫度改變自由地膨脹及收縮。 第1 〇圖示出,若想要捕捉該喷灑頭邊緣78和該等銷 女置在其上之肩部間因磨損產生之微粒,可調整邊緣以包 含:(1)位於該狹縫82下方之溝槽84;以及(2)位於該溝槽 下方之固體部份79。 第11圖示出另一實施例,其中相對於第7 _丨〇圖所示 之實施例,該等銷及狹縫係顛倒的,因此每一個銷8 1向上 延伸進入該掛鉤80或位於其上方之上壁is之狹縫82内, 並因此每一個銷之肩部8 3底側係安置在狹縫側壁8 5上並 由其支撐,該狹縫侧壁85係橫向鄰接該掛鉤之狹縫82或 位於其上方的上壁。但是,每一個銷81向下延伸進入該狹 縫8 2之例示實施例為佳,因為面向上之溝槽8 4可捕捉該 肩部及該狹縫側壁85間因磨損產生之微粒,因此此類微粒 不會落在該工作件上^ 如在前面第1部分中所註,一或多種製程氣體係藉由 該進氣岐管分散進入該反應室内。該進氣岐管包含該進氣 岐管上壁18、噴灑頭22(也稱為噴灑器或氣體分配板)、以 及延伸在該上壁1 8及該喷灑頭間之進氣岐管側壁,因此該 進氣岐管側壁、上壁及喷灑頭共同包圍一稱為充滿空間2 6 或進氣岐管之内部區域之體積。 在第8 -11圖之每一個實施例中,每一個掛鉤8 0之橫 20 200533776 向寬度不需比該掛鉤内之溝槽84之橫向寬度大很多 由橫向寬度我們意指該掛鉤在與掛鉤滑動方向垂直之 上之寬度’其係第8圖中掛鉤從左至右之寬度因此 掛鉤8 0之寬度可能不足以作為進氣岐管之側邊密封 即’若相鄰掛鉤間有大的橫向間距,該等掛鉤就不會 該進氣岐管之氣體密封側壁。 在此情況下,該進氣岐管之氣體密封側壁最好是 在該進氣岐管上壁18和該喷灑頭22間裝設一或多個 密封構件88來形成。該進氣岐管側壁88應包圍延伸 上壁18之進氣口 28和該喷灑頭之出氣口 3〇間之體積 滿空間2 6。該進氣岐管側壁8 8應具有足夠的彈性以 該喷灑頭在徑向上之熱膨脹。 第12圖示出一適合進氣岐管之氣體密封側壁,其 一或多個撓性板或薄膜88,其係裝設在該進氣岐管上; 周邊和該喷灑頭22邊緣78上,並在其間延伸《該等 或薄膜88在該喷灑頭縱軸55方向上之長度或高度應 所需,以跨越該上壁18和該喷灑頭間之距離,因此該 會具有足夠之寬鬆度或鬆弛部份以容納該噴灑頭之 脹。在例示之矩形喷灑頭情況中,該氣體密封8 8可以 著該上壁及喷丨麗頭之整個周邊延伸之單一薄板,或者 包含四個分開之分別與該上壁和喷灑頭之四侧連接 板。 第1 3及14圖示出另一實施例,其中該撓性板或 88下端係沿著一表面與該喷灑頭22連接,該表面在 。(藉 方向 ,該 0亦 形成 利用 氣體 在該 或充 容納 包含 f 18 薄板 大於 薄板 熱膨 是繞 其可 之薄 薄膜 徑向 21
200533776 上係位於該掛鉤8 0内侧,並且在徑向上 3 0外側。 該喷麗頭重量係完全由該等掛鉤8〇_ 性板88 °因此,該撓性板88只需足夠堅 該進氣岐管之氣體和反應室真空間之壓力 堅固到可支撐重的喷灑頭。因此,該撓性 使其具有絕佳彈性之材料組成。 第15圖示出另一實施例,其中該等掛 岐管之氣體密封側壁,去除對於額外之撓士 要。這需要每一個掛鉤80之橫向寬度皆夠 鉤的側端足夠接近彼此,以防止過多充滿〇: 氣體漏出該等掛鉤間之縫隙,而非流經該 30 〇 如第1部分所註,可接受之漏氣量取 上執行之製程,但在大部分製程中,漏氣 亦即,應該有少於10%(十分之一)之藉由, 該充滿空間之氣體漏出該進氣岐管侧壁 90 %之氣體會藉由該出氣孔30分散至該J 差的情況是,應有不多於40%之進入該充 出該進氣岐管側壁。 因此最好是該等掛鉤的橫向寬度應足 掛鉤間之縫隙應足夠小,因此掛鉤間之該 面面積(「侧壁縫隙面積」)應低於該喷灑 部份之合併剖面面積(「喷灑頭孔洞面積」 係位於該出氣孔 L撐,而非由該撓 固以承受供應至 差即可,而不需 板可由足夠薄以 鉤作用為該進氣 L密封件88之需 大,以使鄰接掛 ί間26中之製程 喷灑頭之出氣孔 決於在該工作件 量應低於10%。 贫進氣孔28進入 ,因此應至少有 .空反應室中。最 滿空間之氣體漏 夠大,並且該等 等縫隙之合併剖 頭出氣孔最狹窄 )之10%(十分之 22 200533776 一)。最差的情況是,該側壁縫隙面積應小於該噴灑頭孔洞 面積之40%。 通過鄰接掛鉤側端之縫隙之漏氣可進一步減少,若該 等掛鉤的鄰接端與橋接鄰接掛鉤間之縫隙之撓性密封件或 波紋管(bellows)連接。 第16圖示出另一設計,其中該等掛鉤8〇包含足夠寬 之橫向延伸部分8 9,因此每一對鄰接掛鉤的橫向延伸部分 重疊。每一對重叠之延伸部分應間隔足夠近以使其間之缝 隙阻擔氣體從該充滿空間漏出,因此防止多於4〇%(五分之
好是低於1 0 % (十分之一)。 5 ·細線懸吊裝置 第17和18圖示出一實 或多條撓性線8 6懸吊。每— 管上壁18周邊之一點連接, 該喷灑頭周叇 上壁18上, 實施例’其中該喷灑頭22係由三 一條線之上端係與靠近該進氣岐 ,而每一 一條細線之下端係與靠近
角。(為了更清楚示出 在例示較佳實施例中, 係矩形,細線數量是4條, 喷灑頭及進氣岐管上壁之 你接近該 該四條細 23 200533776 線及其連接點,第17和18圖省略除了該四條細線、該喷 灑頭、該進氣岐管上壁、以及在下面描述之該氣體密封件 88外之所有構件)。 因為該等細線是彈性的,該噴灑頭可因應溫度改變自 由膨脹和收縮,而不會產生應力。 最好是該等細線係由導電金屬組成,因此該等細線可
用來從該進氣岐管上壁傳導射頻電力至該噴灑頭。 八因為該等細線之直徑只是該噴灑頭橫向寬度之極小部 因此該等細線無法作為包圍該充滿空間或該進氣岐管 内部區域26之側壁。所以,必須提供一分開之氣體密封件 來分劃該進氣岐管側邊。該氣趙密封件應具有足夠之彈 性以容納該噴灑頭之熱膨脹。 ..y *圃)甲描述之氣體密封件 之任何設計也同樣適合與 这細線懸吊裝置86合併使用 17及18圖示出與第u 1Q m 圃所不者相似之週邊密封件88 9圖不出另一種密封件88, 22捸垃 4主;^务X 具係/口耆一表面與該喷3 2連接,該表面在經向
徑向上係彳iL # j Ψ > 、 ; 細線86内側,並J …係位於該出…〇外側 封件88類似。 j及14圖所不- 6·具有連接關節之懸吊支桿 第20圖示出與第19圖相似 传由*护Qn而非&城 實施例,除了該喷灑頭 係由支柃90而非細線懸吊之 母 個支桿之下·及上端你 分別利用連接關節9 1與$ 干之Ύ反上_你 #孩噴灑頭及迨$ & 叹進虱岐管上壁連接。該 24 200533776 因此該等支桿可 等連接關節去除了支桿具備彈性之需要, 以是厚實且堅固的。
該等支桿可以是容許該支桿在關節處旋轉之任何習知 設計,例如球窩關節(ball and Socket j〇int)。一較不昂貴 之與球窩關節相近之方法可利用具墊片之螺絲二“ screw)之頭部來取代球,並且利用一孔洞或狹縫來取代 窩,如第20圖所示者。該狹縫可在橫向或徑向方向上延 伸,以容許該支桿在旋轉之外可橫向或徑向地滑動。 應提供一撓性板88以提供該進氣岐管側邊氣體密封 件,如在前面第5部分,標題為「細線懸吊裝置」中所述 者0 7·固接至具有高熱膨脹係數之支撐 第21和22圖示出另一設計,其容許該喷灑頭牢固地 安裝’而不需任何撓性或滑動構件,但是仍然可在承受徑 向上之熱膨脹及收縮時降低該喷灑頭之應力。此設計之一 特別元件是喷灑頭支撐構件100,其係由熱膨脹係數比該 嗔灑頭22之材料高之材料組成。該喷灑頭係從該高熱膨脹 係數支揮構件i 00牢固地懸吊下來。該懸吊裝置包含至少 一個間隔件丨〇2,其中每一個間隔件皆具有連接在靠近該 支撐構件1〇〇周邊處之上端以及連接在靠近該喷灑頭22 周邊處之下端。 該嘴灑頭支撐構件100、間隔件1〇2、以及喷灑頭22 共同包圍一體積或充滿空間1〇1,該製程氣體在藉由該喷 25 200533776 麗頭出氣孔30分散至該真空反應室内部前先流入其中。 些構件應在該充滿空間周圍提供基本上氣密之密封件, 此不會有多於可忽略量之氣體從該充滿空間内不經過該 灑頭出氣孔30漏出至該真空反應室内部。 若該噴灑頭係圓形,則該間隔件102最好是係圓 狀。在例示較佳實施例中,該喷灑頭係矩形,而該間隔 102係一圍繞出一開放式中心之矩形框架。或者,多個 隔件102可圍繞地分散在該喷灑頭22周邊附近,但是如 則應在鄰接間隔件間提供氣體密封件。 一氣體導管106具有連接至該真空反應室上壁“之 端’在此其與該進氣孔28連結。該氣體導管ι〇6之下端 連接至該喷灑頭支撐構件100,在此其與該喷灑頭支撐 件中至少一個氣孔104連結。因此,該氣體導管提 製程氣體從該進氣孔28流動通過該喷灑頭支撐構件内 氣孔1〇4、通過該充滿空間1(H、然後通過該嘴灑頭出氣 3 0之路徑。 此設計之操作原則如下。運用充滿空間i 〇 1中製程 體之熱輸送及對流可用來在該喷灑頭22和該喷灑頭支 構件10G間傳輸熱。因此,該喷灑頭支擇構件⑽之溫 會在該噴灑頭溫度增加及減少時增減。該間隔件也 在該喷灑頭和該支撐構件間傳輸熱。因為藉由氣體及間 件之熱傳輪效率小於i。。%,所以該支撐構件_般會比該 :碩冷。因此’若該支撐構件和該喷灑頭之熱膨脹係數 專,該支揮構件因應來自在該反應室中執行製程的熱而 這 因 喷 柱 件 間 此 上 係 構 供 之 孔 氣 撐 度 可 隔 喷 相 產 26 200533776 生之膨脹及收縮就不會跟噴灑頭一樣多。 但是根據我們的發明,該支撐 文得構件1 00之熱膨脹係赵 應超過該喷灑頭之熱膨脹係數一最大昔 —r上 取大量,因此該支撐構件 在徑向上之膨脹量會大約與該喷 貝愿碩相專。換句話說,該 支擇構件100應由一種熱膨脹係數 此机0 数超過該喷灑頭之熱膨脹 係數一最大量之材料組成,因此該 G β叉撐構件會徑向膨脹一 大約與該喷灑頭因應其較高溫度而產生之徑向膨脹相等之
量。該支撐構件之最大熱膨脹係數m經驗來決定,藉 由製造不同材料之支撐構件刚並選擇使該支樓構件因應 可此會在反應室執行製程期間遭遇之溫度改變而產生之膨 服及收縮量大約與喷灑頭相同之材料。 因為該氣體導管1〇6係在接近該支撐構件1〇〇之中心 處與該喷灑頭支稽構件連接,該支撐構件在該點上之徑向 膨脹是可以忽視的,與接近其周邊之徑向膨脹相比。因此, 該氣邀導管可以固接在該喷灑頭支禮構件上。纟一預期實 施例中’該氣體導管支撐該喷灑頭支撐構件及該喷灑頭之 整個重量。更佳地,可藉由在該支撐構件1〇〇周邊和該上 壁1 8間連接在前面第2-6部分中描述之任何撓性或滑動懸 吊裳置來提供對該加熱器及喷灑頭重量之額外支撐。或 在本發明在其下主張優先權之兩個先前專利申請案, 如在本專利說明書之首於「交叉參照及相關申請案」標題 下所辨明者’其中描述之任何撓性懸吊設計可裝設在該支 揮構件100和該上壁18間。在第21和22圖所示之較隹實 施例中’此種支撐係由分別連結在該喷灑頭四角上之四條 27 200533776 挽性線86提供,如在第5部分描述之第1719圖之實施例 般。 該氣體導營106、支撐構件100、及間隔件102可用來 從該反應室上壁18傳輸射頻電力至該喷灑頭,若它們皆由 導電材料組成。因為這些構件之每一個皆可固接,上述之 每一個連接點可以很容易地實施,以提供良好之射頻電力 傳導。 8 ·噴灑頭後方之加熱器 上述之各懸吊設計意在避免由喷灑頭因應整個喷灑頭 溫度之增減而生之徑向膨脹及收縮所產生之應力。另一個 則述設計沒有完全處理之問題是該喷灑頭之前(下)表面一 般會比該喷灑頭之後(上)表面熱,因為該前表面面對反應 室中之主要熱源:(1)該工作件支撐12,其通常包含一加 熱器以加熱該工作件10 ;以及(2)在電漿製程下,在該噴 麗頭和該工作件支撐間之區域内之電漿。相反地,該喷灑 頭後表面係暴露在通常比該喷灑頭冷之表面下,例如該進 氣岐管上壁18。 當該噴灑頭前表面比後表面熱時,該前表面之膨脹會 比該後表面多’導致該喷灑頭朝向該熱源向外彎曲。亦即, 該前表面變得更凸出^如前面在標題「先前技術」下敘述, 喷灑頭則表面之任何輪廓(平坦或彎曲)改變是不受歡迎 的’因為其會降低在該工作件上執行之製程的空間均勻性。 第23圖示出該彎曲問題之一解決方式。第23圖設計 28 200533776 係與第1 6圖所示之設計相似,除了具有高溫度係數之喷灑 頭支撐構件100由一加熱器110取代之外。該加熱器藉由 提供熱給該喷灑頭後表面來克服該喷灑頭後表面比前表面 冷的問題。該加熱器具有升高整個喷灑頭溫度之額外優 點,這在降低從該工作件之熱流失上是有利的。
該加熱器可運用任何習知熱源,例如嵌入在該加熱器 主體内之電加熱元件,或是用來泵吸熱流體通過該加熱器 主體之通道。熱從該加熱器110傳至該喷灑頭22後表面, 藉由輻射以及藉由製程氣體流經該加熱器及該喷灑頭間之 充滿空間區域1 0 1之傳導及對流。這些熱傳輸機制已經夠 好,因此間隔件102不需要是良好之熱導體。 因為在前段中所述之熱傳輸,該喷灑頭溫度會與該加 熱器幾乎相同,或只稍微冷一些。因此,若該加熱器主體 係由熱膨脹係數與該喷灑頭相同,或稍微小一些,之材料 組成,該加熱器和該喷灑頭會因應溫度而經受大約相等量 之徑向膨脹。因此,該間隔件1 02可固接在該加熱器和該 喷灑頭間。 該加熱器110應至少具有一個像上面第7部分所述之 喷灑頭支撐100之氣孔104之氣孔104。同樣地,如在第7 部分中所述者,一氣體導管106可固接在該真空反應室上 壁1 8和該加熱器11 0間,以支撐該加熱器和噴灑頭之重量 以及從該進氣孔28將製程氣體傳送藉由該加熱器之氣孔 1 04、藉由該充滿空間1 0 1、然後藉由該喷灑頭出氣孔3 0 至該反應室内部。 29 200533776 該氣體導管106、加熱器丨1〇、以及間隔件ι〇2可 從該反應室上壁18傳導射頻電力至該喷灑頭,若它們 導電材料組成。因為這些構件之每一個皆可固接,上 每一個連接點可以很容易地實施,以提供良好之射頻 傳導。 該間隔件102可如上面第7部份所述般實施,以 加熱器110和該喷灑頭22結合形成基本上氣密之充滿 1〇1之封入空間。也如第7部份所述般,可藉由在該 器周邊和該上壁18間連接在前面第2_6部分中描述之 撓性或滑動懸吊裝置來提供對該加熱器及喷灑頭重量 外支撐。或者,在本發明在其下主張優先權之兩個先 =申請案,如在本專利說明書之首於「交又參照及相 案j標題下所辨明者,其中描述之任何撓性懸吊設 在該加熱器110和該上壁18間。在第23圖所示 幻中’此種支撐係由分別連結在該矩形加熱器 上壁1 8間之四條撓性線8 6提供。 ’可用在前面第2-4部分中所述之懸吊裝置 來取代第 2 1 圖中所示之懸吊線86,其中支撐該噴灑 構件(支架 S d 、 ” 或掛鉤70或80),與該反應室壁以一 封地包圍一决产 進氧歧管充滿空間26。在此種情況中,即 要額外的漆ί #
導管106。此選擇在預期在該加熱器中 複數個空間公A 斤 T 处 佈之氣孔104時是特別有用的,以在該 翌間101中、查 逐到製程氣體之預期空間分佈或混合。 地’在前面第2-6部分或上述先前專利申 用來 皆由 述之 電力 與該 空間 加熱 任何 之額 前專 關申 計可 之較 110 之一 頭之 起密 不需 提供 充滿 請案 30 200533776 所述之任何撓性或滑動懸吊裝置之設計中’在其中 喷灑頭22可由在此第8部分中所述之包含該加熱看 間隔件1 02、及喷灑頭22之整個組合取代。在一撓 動懸吊構件或掛鉤係經裝設在該喷灑頭上之前面設 中’此種懸吊構件或掛鉤可取代地裝設在此第8部 述之組合中之該加熱器11 〇、間隔件1 02、或喷灑頭 【圖式簡單說明】 第1圖係一電漿反應室之剖面、部分簡要侧視 包含由一可滑動地放置在一支架上之喷灑頭邊緣支 灑頭。 第2圖係第1圖之喷灑頭邊緣及支架之垂直剖 圖。 第3圖係僅有第2圖之該矩形喷灑頭及支架之一 第4圖係第3圖設計之一變形之上視圖,其中 頭係圓柱狀,只示出該喷灑頭及支架。 第5圖係一改良版之喷灑頭邊緣及支架之垂直 邛圖,其更包含防止微粒掉落之唇狀物。 第6圖係第5圖之喷麗頭邊緣及支架之另一個 之垂直d面細部圖,其中一掛鉤將該支架連接至該 管上壁。 第7圖係具有用來與掛鉤配合之狹缝之喷灑頭 剖面圖,該等掛錄且亡1 ‘ 、有可在該等狹縫中滑動之銷。 第8和9圖分別是利用具有可在料狹縫中滑 之掛鉤懸吊之嘴灑頭夕击古 鹿碩之垂直剖面圖及垂直剖面細部i 所述之 "10 ' 性或滑 計之一 分中所 22上。 圖,其 撐之哨: 面細部 匕視圖。 該喷灑 剖面細 實施例 進氣岐 之水平 動之销 31 200533776 第10圖係第9圖之實施例之一變形之 圖 ^ 胡面細部 其中該喷灑頭邊緣包含一捕捉微粒之溝槽。 ° 圖 第11圖係第9圖之實施例之一變形之垂直剖面細立 其中該等銷及狹縫係顛倒的。 第12圖係第8及9圖之進氣岐管之垂直剖面細部圖 其具有延伸在該噴灑頭邊緣及該進氣岐管上壁周邊間」 體密封件。 < 氧4 第13及14圖分別是第8及9圖之進氣岐管之垂直為 面細部圖及放大圖,其具有在該出氣孔及該邊緣間與該^ 灑頭連結之氣體密封件。 ™嘴 第15圖係第8及9圖之進氣岐管之一變形之垂直剖
圖’其中該等掛釣係足夠寬而可作為該進氣岐管之氣 封側壁。 I 第16圖係第15圖之進氣岐管之一變形之水平剖面 圖’其中該等掛鉤包含重疊之橫向延伸部分。 第 7圓係一實施例之一角之垂直剖面細部圖,其中該 喷灑頭係利用細線從該進氣岐管上壁懸吊下來。 第1 8圖係僅有第17圖實施例之喷灑頭、細線、以及 進氣岐管上壁之放大圖。 第1 9圖係第1 7圖實施例之一變形之一角之垂直剖面 細部圖’其中用來密封該進氣岐管側邊之薄板係在該等懸 吊線内面。 第20圖係一實施例之一角之垂直剖面細部圖’其中該 喷灑頭藉由安裝有連接關節之支桿來懸吊。 32 200533776 I構件及喷灑頭之垂直剖 垂直剖面圖。 第21及22圖分別是溫度補 面圖及放大圖。 第23圖係加熱器及喷灑頭之 【主要元件符號說明】 10 工 作 件 14 側 壁 及 底 壁 18 進 氣 岐 管 上 22 喷 灑 頭 24 - 35 36 38 28 進 氣 孔 32 排 氣 細 缝 34 圓 盤 52、 78 邊 緣 55 縱 軸 58 溝 槽 62 > 64 唇 狀 物 79 固 體 部 份 82 狹 縫 84 溝 槽 86 撓 性 線 89 延 伸 部 分 91 連 接 關 節 102 間隔件 106 氣體導管
12 16 19 23 介電内襯 26、 30 33 39 54 56 > 61 70 ^ 81 83 85 88 90 100 104 110 工作件支撐 上蓋 0型環 喷灑頭前表面 101 充滿空間 出氣子匕 排氣充滿空間 覆蓋體 喷灑頭支架 57 電纜 滑動件 80 掛鉤 銷 肩部 側壁 撓性板 支桿 喷灑頭支撐構件 氣孔 加熱器 33

Claims (1)

  1. 200533776 拾、申請專利範圍: ::/ 1· 一種支撐一喷灑頭之設備,其至少包含: 一支架,具有一面向上之表面;以及 一喷灑頭,具有一周圍邊緣、一上表面、一下表面、 • 以及一或多個延伸在該上表面和該下表面之間的氣孔; 4 其中該喷灑頭之邊緣包含一面向下之表面;以及 φ 其中該喷灑頭係經安置使得該喷灑頭邊緣之面向下表 面安置在該支架之面向上表面上,並使得該喷灑頭邊緣可 沿著該支架之面向上表面自由滑動。 2.如申請專利範圍第1項所述之設備,更包含: 一真空反應室,具有包圍一反應室内部之反應室壁; 其中該喷灑頭係配置在該反應室内部中;以及 # 其中該支架係裝設在該反應室壁上。 3·如申請專利範圍第1項所述之設備,更包含: 一真空反應室,具有包圍一反應室内部之反應室壁; 以及 一介電内襯,覆蓋該反應室壁面向該反應室内部之表 面; 其中該喷灑頭係配置在該反應室内部中;以及 34 200533776 其中該介電内襯包含該支架。 4 ·如申請專利範圍第1項所述之設備,其中上述之邊緣係 與該喷灑頭一體成型。 5. 如申請專利範圍第1項所述之設備,其中: 該喷灑頭係矩形;以及 φ 該邊緣係裝設在該喷灑頭上之一矩形框架。 6. 如申請專利範圍第1項所述之設備,其中: 該喷灑頭係矩形; 該邊緣係矩形並具有四個側邊:以及 該支架包含四個分開之組件,其分別與該邊緣之四個 各自側邊緊鄰。
    7·如申請專利範圍第1項所述之設備,其中: 該噴灑頭係圓柱狀; 該邊緣係環狀;以及 該支架係環狀。 8.如申請專利範圍第1項所述之設備,其中上述之支架係 一連續組件。 35 200533776 9· 如申請專利範圍第〗馆α 间昂1項所述之設備 該支架包含複數個組件;以及 該支架之鄰接組件。 10·如申請專利範圍第 項所述之設備,其中: 以及 面積低於該喷 面積之十分之
    該支架包含複數個由縫隙隔開之魬件; 該支架之鄰接組件間之縫隙之合併剖面 激頭每一個出氣孔最狹窄部份之合併剖面 更包含: 11 ·如申請專利範圍第1項所述之設僑 一真空反應室,具有包圍一反應言 源至内部之反應室壁, 其中該反應室壁包含一或多個進氣孔; 其中該喷灑頭係配置在該反應室内A由· °丨Y,以及 其中該支架包含複數個組件; 其中該支架之每一個組件之外側部分係裝設在反應室 壁上,因此該反應室壁、該喷灑頭、以及該支架之組件共 同包圍一體積,其係與該反應室壁之進氣孔及該喷灑頭之 出氣孔流體交流;以及 其中該支架之鄰接組件係由足夠小之縫隙隔開,因此 使一數量之氣體流經該進氣孔會產生至少該氣體數量之 36 200533776 90%之氣體流經該喷灑頭之出氣孔。 12 ·如申請專利範圍第1項所述之設備,其中: 可滾動地安 該喷灑頭邊緣更包含(i) 一插座以及 裝在該插座内之滑動件;以及
    該噴灑頭邊緣之面向下表面包含該滑動侔 τ <表面 13·如申請專利範圍第1項所述之設備,其中: 該支架更包含(i)一插座以及(ii) 一可滾動地安裝在該 插座内之滑動件;以及 該支架之面向上表面包含該滑動件之表面。 14·如申請專利範圍第1項所述之設備,其中: 該喷灑頭邊緣更包含一向下延伸之唇狀物,其中該邊 緣之面向下之表面係該向下延伸之唇狀物之表面;以及 該支架更包含一向上延伸之唇狀物,位在該支架之面 向上之表面之徑向内側處。 15·如中請專利範圍第14項所述之設備,其中·· 37 200533776 該喷灑頭邊緣之向下延伸之唇狀物更包含一插 可滾動地安裝在該插座内之滑動件;以及 該喷灑頭邊緣之面向下之表面包含該滑動件之 • 1 6 ·如申請專利範圍第14項所述之設備,其中: φ 該向上延伸之唇狀物之特徵在於高度;以及 該向下延伸之唇狀物之特徵在於比該向上延伸 物高度高之高度。 17 ·如申凊專利範圍第1項所述之設備,更包含:
    座及一 表面。 之唇狀 分係裝 一進氣歧管上壁,具有至少一個進氣孔; 一掛鉤,具有上半及下半部分,其中該上半部 設在該進氣歧管上壁上;以及 其中該支架係裝在該掛鉤的下半部分上。 之進氣 該喷灑 在該進 1 8 ·如申請專利範圍第1 7項所述之設備,其中上述 歧管上壁、該掛鉤、該支架、該喷灑頭邊緣、以及 頭之每一個皆可導電,並且係串聯裝設在一起,以 氣歧管上壁及該喷灑頭間提供導電連接。 38 200533776 19· 一種支撐一喷灑頭之設備,其至少包含·· 一進氣歧管上壁,具有至少一個進氣孔; 一喷麗頭,具有一或多個氣孔; 一第一掛鉤層,包含一或多個掛鉤,其中每一個掛鉤 包含一上半部分及一下半部分,並且其中每一個掛鉤的上 半部分係裝設在該進氣歧管上壁上;以及
    複數個滑動關節,其中每一個滑動關節包含一可在一 狹縫中滑動之銷; 其中該第一掛鉤層之每一個掛鉤的下半部分係利用至 少一個滑動關節裝設在該喷灑頭上,以使該第一掛鉤層之 每一個掛鉤和該喷灑頭間可以有相對滑動運動,因此該第 一掛鉤層之該等掛鉤支撐該喷灑頭。 20.如申請專利範圍第19項所述之設備,其中: 每一個滑動關節之銷係裝設在該等掛鉤的一之下半部 分上η並從其向下延伸;以及 每一個滑動關節之狹縫係形成在該喷灑頭内。 21 ·如申請專利範圍第20項所述之設備,其中: 每一個銷包含一肩部,其係比該銷在其内滑動之狹縫 39 200533776 之橫向寬度寬;以及 該喷灑頭安置在該等銷之肩部上。 22.如申請專利範圍第19項所述之設備,其中·· 每一個滑動關節之銷係裝設在該喷灑頭上,並從其向 上延伸;以及
    每一個滑動關節之狹縫係形成在該等掛鉤的一之下半 部分内。 23·如申請專利範圍第22項所述之設備,其中·· 掛鉤的每一個狹縫係由有該狹縫形成在其内之位於該 掛鉤的下半部分内之一狹縫側壁形成界線;
    每一個銷包含一肩部,其係比該銷在其内滑動之狹縫 之橫向寬度寬;以及 每一個銷之肩部係安置在該銷在其内滑動之狹縫之側 壁上。 24.如申請專利範圍第19項所述之設備,其中上述之滑動 關節係裝設在該喷灑頭上接近該喷灑頭周邊之分散位置 40
    200533776 處。 25 ·如申請專利範圍第1 9項所述之設備,其中: 該喷灑頭之特徵在於一縱軸;以及 每一個狹縫之最長尺寸之方位係與該喷灑頭之 直。 26. 如申請專利範圍第19項所述之設備,其中上述 掛鉤層之每一個掛鉤的上半部分係直接裝設在該進 上壁上。 27. 如申請專利範圍第19項所述之設備,其中上述 掛鉤層之每一個掛鉤的上半部分係可滑動地裝設在 歧管上壁上。 2 8.如申請專利範圍第19項所述之設備,其中上述 掛鉤層之每一個掛鉤的上半部分係利用一或多個滑 裝設在該進氣歧管上壁上,該等滑動關節容許該第 層之每一個掛鉤和該進氣歧管上壁間之相對運動。 2 9.如申請專利範圍第19項所述之設備,更包含一 或多個掛鉤的第二掛鉤層,其中: 縱軸垂 之第一 氣歧管 之第一 該進氣 之第一 動關節 一掛鉤 含有一 41 200533776 該第二層之每一個掛鉤係裝設在該第一層之 的一和該進氣歧管上壁上,並且係配置在其間; 該第一層之每一個掛鉤包含一上半部分及 分; 該第二層之每一個掛鉤的上半部分係裝設在 管上壁上;以及 該第二層之每一個掛鉤的下半部分係利用至 動關節裝設在該第一層之相應之該等掛鉤的一之 上,以容許該第一掛釣層之每一個掛鉤及其相應 層之掛鉤間之相對滑動運動,因此該第二掛鉤層 鉤支撐該第一掛鉤層之該等掛釣。 3 0.—種支撐一喷灑頭之設備,其至少包含· 一進氣歧管上壁’具有至少一個進氣孔; 一喷灑頭,具有一或多個氣孔; 一第一掛鉤層,包含一或多個掛鉤,其中每 包含一上半部分及一下半部分’並且其中每一個 半部分係裝設在該喷激頭上;以及 複數個滑動關節’其中每一個滑動關節包含 狹縫中滑動之銷; 其中該第一掛鉤層之每一個掛鉤的上半部分 少一個滑動關節裝設在該進氣歧營μ^ ^ % &上壁上,以容 掛鉤層之每一個掛鉤和該進氣歧瞢 % nr上壁間之相 該等掛釣 一下半部 該進氣歧 少一個滑 上半部分 之該第一 之該等掛 一個掛鉤 掛釣的下 一可在一 係利用至 許該第一 對滑動運 42 200533776 動,因此該進氣歧管上壁支撐該第一掛鉤層之該等掛鉤。 31·—種支撐一喷灑頭之設備,其至少包含: 一進氣歧管上壁,具有至少一個進氣孔; 一喷灑頭’具有一或多個氣孔; 三或多條撓性線,其中每一條線係裝設在該進氣歧管 上壁和該喷灑頭間,因此該等線將該喷灑頭從該進氣歧管
    32.如申請專利範圍第31項所述之設備,更包含一或多個 撓性板,裝設在該進氣歧管上壁和該喷灑頭間,其中該等 板係經配置以包圍一延伸在該進氣歧管上壁和該喷灑頭間 之體積’其中該體積係與該至少一個進氣孔及該喷灑頭之 氣孔流體交流。
    3 3 ·如申請專利範圍第3 2項所述之設備,其中上述之撓性 線係配置在該體積外部。 3 4 ·如申請專利範圍第3 2項所述之設備,其中上述之撓性 線係配置在該體積内部。 35·如申請專利範圍第31項所述之設備,其中上述之進氣 43 200533776 歧管上壁、該等線、以及該喷灑頭之每一個皆可導電,並 且係串聯裝設在一起,以在該進氣歧管上壁及該喷灑頭間 提供導電連接。 • 36·—種支撐一噴灑頭之設備,其至少包含: , 一進氣歧管上壁,具有至少一個進氣孔; 一喷灑頭,具有一或多個氣孔; Φ 三或多個支桿; 其中每一個支桿具有一裝設在該進氣歧管上壁上之第 一端以及一裝設在該喷灑頭上之第二端,因此該等支桿將 該喷灑頭從該進氣歧管上壁懸吊下來;以及 其中每一個支桿包含至少一個連接關節。 37·如申請專利範圍第36項所述之設備,其中: 每一個支桿包含*一延伸在該第一及第一端間之中少邻 分;以及 每一個支桿之至少一個連接關節係配置在該支椁第— 端介於該支桿之中央部分和該進氣歧管上壁間之位置。 3 8 ·如申請專利範圍第3 6項所述之設備,其中: 每一個支桿包含一延伸在該第一及第二端間之中央部 44 200533776 分;以及 每一個支桿之至少一個連接關節係配置在該支桿第二 端介於該支桿之中央部分和嘴丨麗頭間之位置。 3 9 ·如申請專利範圍第3 6項所述之設備,更包含一或多個 撓性板,裝設在該進氣歧管上壁和該喷灑頭間,其中該等 板係經配置以包圍一延伸在該進氣歧管上壁和該喷灑頭間 之體積,其中該體積係與該至少一個進氣孔及該喷灑頭之 氣孔流體交流。 4 0 ·如申請專利範圍第3 9項所述之設備,其中上述之撓性 支桿係配置在該體積外部。 4 1 ·如申請專利範圍苐3 9項所述之設備,其中上述之撓性 支桿係配置在該體積内部。 42·如申請專利範圍第36項所述之設備,其中上述之進氣 歧管上壁、該等支桿、以及該喷激頭之每一個皆可導電’ 並且係串聯裝設在一起,以在該進氣歧管上壁及該喷灑頭 間提供導電連接。 43. —種支撐一喷灑頭之設備,其至少包含: 45 200533776 一喷灑頭,具有一或多個出氣孔; 一支撐構件,具有至少一個氣孔·以及 -間隔件,裝設在該支撐構件和該噴_ 間隔件之配置係使該間隔件、該支撐構件和則 .包圍—趙積’氣體可藉由其間從該支撐構件之桌 , 喷灑頭之出氣孔; ' •《巾該支#構件之熱膨脹係數比該噴丨麗頭高 44.如申請專利範圍第〇項所述之設備,更包令 一進氣歧管上壁,具有至少一個進氣孔;以 一氣鱧導管,連接該進氣歧管之進氣孔和該 之乳孔。 Λ •如申請專利範圍第44項所述之設備,其中上 歧管上壁、該氣體導管、該支撐構件、該間隔件 >簏頭之每一個皆可導電,並且係串聯裝設在一起 進氣歧管上壁及該噴灑頭間提供導電連接。 46·如申請專利範圍第43項所述之設備,其中上 個間隔件之第二端係裝設在該喷灑頭之接近該1 ’其中該 灑頭合併 孔流至該 及 支撐構件 述之進氣 以及該喷 ,以在該 述之每一 灑頭周邊 46 200533776 處 47·如申請專利範圍第43項所述之設備,其中: 該喷灑頭係矩形;以及 該間隔件包含具有一開放式中心之矩形框架。
    48·如申請專利範圍第43項所述之設備,其中: 該喷灑頭係具有四個側邊之矩形;以及 該間隔件包含四個間隔件部分,其分別鄰接該喷灑頭 之四個各自側邊裝設。 49·一種支撐一喷灑頭之設備,其至少包含: 一喷灑頭,具有一或多個出氣孔; 一加熱器,具有至少一個氣孔;以及 一間隔件,裝設在該加熱器和該喷灑頭間,其中該間 隔件之配置係使該間隔件、該加熱器和該噴灑頭合併包圍 一體積,氣體可藉由其間從該加熱器之氣孔流至該喷灑頭 之出氣孔 5 0.如申請專利範圍第49項所述之設備,更包含: 47
    200533776 一進氣歧管上壁,具有至少一個進氣孔;以及 一氣體導管,連接該進氣歧管之進氣孔和該加熱器之 氣孑L。 51.如申請專利範圍第50項所述之設備,其中上述之進氣 歧管上壁、該氣體導管、該加熱器、該間隔件以及該喷灑 頭之每一個皆可導電,並且係串聯裝設在一起,以在該進 氣歧管上壁及該喷灑頭間提供導電連接。 52.如申請專利範圍第49項所述之設備,其中上述之每一 個間隔件之第二端係裝設在該喷灑頭之接近該喷灑頭周邊 處0 53.如申請專利範圍第49項所述之設備,其中: 該噴灑頭係矩形;以及 該間隔件包含具有一開放式中心之矩形框架。 54.如申請專利範圍第49項所述之設備,其中: 該噴灑頭係具有四個側邊之矩形;以及 該間隔件包含四個間隔件部分,其分別鄰接該喷灑頭 之四個各自側邊裝設。 48 200533776
    55.如申請專利範圍第49項所述之設備,其中上述之加熱 器包含一嵌入在一主體内之電加熱元件。 5 6.如申請專利範圍第49項所述之設備,其中上述之加熱 器包含一主體,其具有一或多個可供熱流體泵吸通過其間 之通道。 49
TW094105457A 2004-02-24 2005-02-23 Showerhead mounting to accommodate thermal expansion TWI290962B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US54733804P 2004-02-24 2004-02-24

Publications (2)

Publication Number Publication Date
TW200533776A true TW200533776A (en) 2005-10-16
TWI290962B TWI290962B (en) 2007-12-11

Family

ID=35046181

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094105457A TWI290962B (en) 2004-02-24 2005-02-23 Showerhead mounting to accommodate thermal expansion

Country Status (5)

Country Link
US (1) US7722925B2 (zh)
JP (2) JP4698251B2 (zh)
KR (1) KR100769963B1 (zh)
CN (2) CN102212798B (zh)
TW (1) TWI290962B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone

Families Citing this family (472)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI306782B (en) * 2005-09-02 2009-03-01 Applied Materials Inc Suspension for showerhead in process chamber
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7674394B2 (en) 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
KR100801711B1 (ko) * 2007-02-27 2008-02-11 삼성전자주식회사 반도체 식각 및 증착 공정들을 수행하는 반도체 제조장비들 및 그를 이용한 반도체 소자의 형성방법들
WO2008118483A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (cvd) apparatus
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
WO2009078921A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate with path splitting manifold
US8343592B2 (en) 2007-12-25 2013-01-01 Applied Materials, Inc. Asymmetrical RF drive for electrode of plasma chamber
CN101933402B (zh) * 2008-01-31 2013-03-27 应用材料公司 用于等离子体腔室的电极的多相射频电源
KR100970201B1 (ko) * 2008-03-17 2010-07-14 주식회사 아이피에스 진공처리장치
US8373092B2 (en) * 2008-04-09 2013-02-12 The Boeing Company Purge and sealant cap for selective laser sintering build frame
US20090258162A1 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
KR101569752B1 (ko) 2008-06-12 2015-11-19 주성엔지니어링(주) 기판처리장치
KR101529669B1 (ko) * 2008-06-12 2015-06-18 주성엔지니어링(주) 기판처리장치
US20100112212A1 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
US9328417B2 (en) 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
WO2010094002A2 (en) * 2009-02-13 2010-08-19 Applied Materials, Inc. Rf bus and rf return bus for plasma chamber electrode
KR101190750B1 (ko) * 2009-02-19 2012-10-12 엘지전자 주식회사 실리콘 박막 제조방법 및 제조장치
EP2399273B1 (en) * 2009-02-22 2017-06-28 Mapper Lithography IP B.V. Charged particle lithography apparatus and method of generating vacuum in a vacuum chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101099533B1 (ko) 2009-07-23 2011-12-28 세메스 주식회사 기판 처리 유닛 및 장치
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9039864B2 (en) * 2009-09-29 2015-05-26 Applied Materials, Inc. Off-center ground return for RF-powered showerhead
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
TWI436831B (zh) * 2009-12-10 2014-05-11 Orbotech Lt Solar Llc 真空處理裝置之噴灑頭總成
US9850576B2 (en) * 2010-02-15 2017-12-26 Applied Materials, Inc. Anti-arc zero field plate
CN102918180B (zh) * 2010-05-21 2014-12-17 应用材料公司 大面积电极上的紧密安装的陶瓷绝缘体
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP2012216744A (ja) * 2010-11-10 2012-11-08 Sharp Corp 気相成長装置及び気相成長方法
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
WO2012118897A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US20120231181A1 (en) * 2011-03-09 2012-09-13 Applied Materials, Inc. Insulation coverage of cvd electrode
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102154691B (zh) * 2011-05-30 2012-11-21 东莞市中镓半导体科技有限公司 狭缝式多气体输运喷头结构
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5753450B2 (ja) * 2011-06-30 2015-07-22 東京エレクトロン株式会社 成膜装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
CN103266310B (zh) * 2013-05-24 2015-05-20 上海和辉光电有限公司 分散板及具有该分散板的镀膜装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
DE102015110440A1 (de) * 2014-11-20 2016-05-25 Aixtron Se CVD- oder PVD-Reaktor zum Beschichten großflächiger Substrate
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR102389078B1 (ko) * 2015-03-05 2022-04-22 주성엔지니어링(주) 기판 처리장치에 구비되는 샤워헤드
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6368732B2 (ja) * 2016-03-29 2018-08-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
CN109477207A (zh) 2016-09-23 2019-03-15 应用材料公司 溅射喷淋头
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10801106B2 (en) * 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) * 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US11598003B2 (en) * 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7140525B2 (ja) * 2018-03-29 2022-09-21 株式会社アルバック 真空処理装置
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
US10923327B2 (en) * 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR102529845B1 (ko) * 2018-09-26 2023-05-08 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세싱 챔버용 열 전도성 스페이서
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7132358B2 (ja) * 2019-01-07 2022-09-06 株式会社アルバック 真空処理装置
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11901162B2 (en) 2019-01-07 2024-02-13 Ulvac, Inc. Vacuum processing apparatus and method of cleaning vacuum processing apparatus
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20200093754A (ko) * 2019-01-29 2020-08-06 주성엔지니어링(주) 샤워헤드 및 이를 포함하는 기판처리장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP7282646B2 (ja) * 2019-09-26 2023-05-29 株式会社アルバック 真空処理装置
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210105139A (ko) * 2020-02-18 2021-08-26 (주)포인트엔지니어링 가스 공급장치 및 이를 구비한 증착장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220254660A1 (en) * 2021-02-05 2022-08-11 Linco Technology Co., Ltd. Substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63187619A (ja) 1987-01-30 1988-08-03 Fuji Xerox Co Ltd プラズマcvd装置
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR0164618B1 (ko) 1992-02-13 1999-02-01 이노우에 쥰이치 플라즈마 처리방법
JPH05299382A (ja) * 1992-04-21 1993-11-12 Mitsubishi Electric Corp プラズマ処理装置およびその方法
JP3155844B2 (ja) * 1992-10-20 2001-04-16 日本真空技術株式会社 真空処理装置の高周波電極
JP2662365B2 (ja) 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5350480A (en) 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
JPH09153481A (ja) * 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5844205A (en) 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5997642A (en) 1996-05-21 1999-12-07 Symetrix Corporation Method and apparatus for misted deposition of integrated circuit quality thin films
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5989652A (en) 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US6093645A (en) 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US5994678A (en) 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US5968276A (en) 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
JP3725325B2 (ja) * 1998-03-18 2005-12-07 株式会社日立製作所 半導体製造方法ならびに半導体製造装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
KR100267885B1 (ko) 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
KR100745495B1 (ko) 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
WO2000063956A1 (fr) * 1999-04-20 2000-10-26 Sony Corporation Procede et dispositif pour realiser un depot de couches minces, et procede pour la production d'un dispositif a semiconducteur a couches minces
US6123775A (en) 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6254742B1 (en) 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP3501715B2 (ja) 2000-03-21 2004-03-02 シャープ株式会社 プラズマプロセス装置
US6857387B1 (en) 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
JP2002045683A (ja) * 2000-08-08 2002-02-12 Hitachi Kokusai Electric Inc 基板処理装置
JP4381588B2 (ja) * 2000-10-25 2009-12-09 ソニー株式会社 加熱を伴う処理装置
JP2002261036A (ja) * 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd 熱処理装置
KR100377096B1 (ko) * 2001-05-08 2003-03-26 (주)넥소 개선된 샤워헤드를 구비한 반도체 제조장치
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone

Also Published As

Publication number Publication date
CN1673410B (zh) 2011-07-06
CN102212798A (zh) 2011-10-12
JP2005256172A (ja) 2005-09-22
CN102212798B (zh) 2015-01-21
KR100769963B1 (ko) 2007-10-25
TWI290962B (en) 2007-12-11
JP5366923B2 (ja) 2013-12-11
US7722925B2 (en) 2010-05-25
JP2011089208A (ja) 2011-05-06
US20050183827A1 (en) 2005-08-25
JP4698251B2 (ja) 2011-06-08
CN1673410A (zh) 2005-09-28
KR20060042164A (ko) 2006-05-12

Similar Documents

Publication Publication Date Title
TW200533776A (en) Showerhead mounting to accommodate thermal expansion
JP5215055B2 (ja) 拡散装置支持体
TWI643976B (zh) 沉積裝置及具有該沉積裝置的沉積系統
KR102026727B1 (ko) 히터를 구비한 기판 지지체
US9666467B2 (en) Detachable high-temperature electrostatic chuck assembly
TWI314759B (en) Rf grounding of cathode in process chamber
JP4430253B2 (ja) ガス分配プレートを備えたチャンバ及び装置とガス分配プレートの熱応力を最小限にする方法
US7436645B2 (en) Method and apparatus for controlling temperature of a substrate
US20130087309A1 (en) Substrate support with temperature control
US8226769B2 (en) Substrate support with electrostatic chuck having dual temperature zones
TW552829B (en) Suspended gas distribution manifold for plasma chamber
TWI415213B (zh) 高溫靜電夾盤及其使用方法
TWI622120B (zh) 在電漿處理室中提供間隙高度及平面化調整之基板支架
CN104995719A (zh) 具有可拆卸式气体分配板的喷淋头
TW201529879A (zh) 用於改善之氟利用及整合對稱前級管線之托架底部清洗
JP2013531364A (ja) 共通のリソースを有するプロセスチャンバ及びその使用方法
TW201142972A (en) Chamber with uniform flow and plasma distribution
CN101680090A (zh) 真空处理装置
TW201814823A (zh) 用於寬範圍溫度控制的加熱器基座組件
CN102842524A (zh) 半导体制造装置
JP2017087188A (ja) 真空処理装置
US9206512B2 (en) Gas distribution system
CN105575873B (zh) 压环机构及半导体加工设备
CN105143502B (zh) 高温处理腔室盖体
CN104934345A (zh) 一种等离子体装置