TWI622120B - 在電漿處理室中提供間隙高度及平面化調整之基板支架 - Google Patents

在電漿處理室中提供間隙高度及平面化調整之基板支架 Download PDF

Info

Publication number
TWI622120B
TWI622120B TW102118849A TW102118849A TWI622120B TW I622120 B TWI622120 B TW I622120B TW 102118849 A TW102118849 A TW 102118849A TW 102118849 A TW102118849 A TW 102118849A TW I622120 B TWI622120 B TW I622120B
Authority
TW
Taiwan
Prior art keywords
plasma processing
semiconductor substrate
vacuum chamber
tubular support
electrode assembly
Prior art date
Application number
TW102118849A
Other languages
English (en)
Other versions
TW201405700A (zh
Inventor
傑洛 肯特 安東力克
彥坤 維特 王
約翰 霍藍德
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201405700A publication Critical patent/TW201405700A/zh
Application granted granted Critical
Publication of TWI622120B publication Critical patent/TWI622120B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/023Means for mechanically adjusting components not otherwise provided for
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma Technology (AREA)

Abstract

一種用於電漿處理設備中的半導體基板支架,包含:夾頭體,該夾頭體具有充氣室及在充氣室與夾頭體之外緣間延伸的三個徑向延伸孔,其中該夾頭體的尺寸被設計成用以支撐直徑至少450mm的半導體基板。該半導體基板支架更包含三管狀支撐臂,該管狀支撐臂包含從該夾頭體之外緣向外徑向延伸的第一部以及自該第一部垂直地延伸的第二部。管狀支撐臂提供管道,經由管道可與夾頭體中的對應孔連通。每一該管狀支撐臂的該第二部係用以與處理室外部的一對應的致動機構銜合,該致動機構可操作以達到電漿處理室內部中該夾頭體的垂直移動與平面化調整。

Description

在電漿處理室中提供間隙高度及平面化調整之基板支架
本發明係關於電漿處理設備,其中半導體基板支架可在真空室之內部移動俾使基板之間隙高度與平面化為可調整。
積體電路通常自基板所形成,在基板上形成了許多圖案化的微電子層。在處理基板時,常使用電漿來於基板上沈積薄膜或蝕刻薄膜的欲移除部分。下個世代之微電子層中的特徵部尺寸微縮及新材料使用會對電漿處理設備有許多新的要求。更小的特徵部、更大的基板尺寸及新的處理技術對於電漿參數如基板各處之電漿密度與蝕刻均勻度的控制產生了額外的要求以達到期望的良率。
在電容耦合RF電漿反應器中,與基板電極相對的電極通常被稱為上電極。上電極可接地,或者具有一或多個射頻(RF)電源連接至上電極。基板電極通常被稱為下電極。在電容耦合電漿處理室中針對下電極的機械配置可涉及自處理室的一側懸臂支撐包含該下電極的組件。此經懸臂支撐的下電極可與上電極之間維持固定距離或者可被設計成與上電極之間具有變動距離。在任一情況中,下電極的平面化可被下垂所影響,當通到夾頭之服務管道係位在懸臂支撐臂之內部中時可能會發生RF干擾。
在共有之美國專利公開案US2009/0199766中揭露了用以增加上與下電極間之平面化控制之經懸臂支撐的下電極組件,將其揭露內容包含於此作為參考。雖然公開案'766為了解決更小基板特徵部、較大基 板尺寸及新處理技術的需求而揭露了經改良之經側壁支撐的基板支架,但仍需要改良上與下電極之間的間隙高度與平面化控制以增加基板各處的蝕刻均勻度。
本文中揭露一種用於電漿處理設備中的半導體基板支架。該半導體基板支架包含夾頭體,該夾頭體具有充氣室及在充氣室與夾頭體之外緣間延伸的三個徑向延伸孔,其中該夾頭體的尺寸被設計成用以支撐直徑至少450mm的半導體基板。該半導體基板支架更包含管狀支撐臂,該管狀支撐臂包含從該夾頭體之外緣向外徑向延伸的第一部以及自該第一部垂直地延伸的第二部,其中該管狀支撐臂的每一者定義與一徑向延伸孔連通的一通道。每一該管狀支撐臂的該第二部係用以與一對應的致動機構銜合,該致動機構可操作以達到該夾頭體的垂直移動與平面化調整。
該半導體基板支架係位於電容耦合電漿處理設備之真空室之內部。該電容耦合電漿處理設備更包含受到真空室的上壁支撐的上噴淋頭電極組件以及被包含於該半導體基板支架中的下電極組件。該下電極組件包含下電極以及具有支撐表面的靜電夾頭,單一片半導體基板被支撐於該支撐表面上。該管狀支撐臂之該第二部係位於真空室的外壁中的三個開口中,其中其耦合至三個致動機構。該三個致動機構係位於真空室的外壁上且可獨立操作以使該管狀支撐臂向上與向下移動。該電容耦合電漿處理設備更包含連接至至少一真空泵浦的至少一真空接口以及用以將處理氣體供給至真空室的氣體源。
50‧‧‧半導體基板
100‧‧‧電容耦合電漿處理設備
110‧‧‧真空室
112‧‧‧開口
113‧‧‧內部
114‧‧‧上壁
114a‧‧‧上表面
115‧‧‧下壁
115a‧‧‧下表面
116‧‧‧內壁
117‧‧‧管道
118‧‧‧RF匹配件
119‧‧‧側壁
130‧‧‧開口
140‧‧‧氣體源
145‧‧‧控制系統
150‧‧‧雙真空接口
150a‧‧‧雙真空泵浦
160‧‧‧RF電源
162‧‧‧RF傳輸構件
163‧‧‧服務管道
164‧‧‧電射干涉儀
200‧‧‧半導體基板支架
210‧‧‧夾頭體
211‧‧‧充氣室
212‧‧‧徑向延伸孔
213‧‧‧外緣
220‧‧‧管狀支撐臂
220a‧‧‧第一部
220b‧‧‧第二部
221a‧‧‧第一端
221b‧‧‧第二端
222a‧‧‧外緣
223‧‧‧內通道
250‧‧‧下電極組件
251‧‧‧下電極
252‧‧‧靜電夾頭(ESC)
253‧‧‧支撐表面
254‧‧‧底板
300‧‧‧致動機構
301‧‧‧真空密封件
302‧‧‧步進馬達
303‧‧‧凸緣
303a‧‧‧凸緣
303b‧‧‧凸緣
303c‧‧‧凸緣
304‧‧‧運動安裝配置
305‧‧‧開口
310‧‧‧錐形凹口
311‧‧‧V形(或凹槽)
312‧‧‧平坦接觸件
350‧‧‧上噴淋頭電極組件
351‧‧‧冷卻板
352‧‧‧熱控制板
353‧‧‧上電極
354‧‧‧C形限制環
355‧‧‧表面
圖1顯示了電容耦合電漿處理設備之一實施例的剖面概圖。
圖2顯示了圖1中所示之電容耦合電漿處理設備的剖面細部圖。
圖3顯示了圖1中所示之電容耦合電漿處理設備之上壁的上視圖。
圖4A-C顯示圖1中所示之電容耦合電漿處理設備的可分離元件。
圖5顯示了電容耦合電漿處理設備的替代實施例的剖面圖,其中支撐臂延伸貫穿下壁。
圖6A、B顯示了圖5中所示之電容耦合電漿處理設備之真空室與半導體基板支架的元件。
圖7顯示了圖5中所示之電容耦合電漿處理設備之替代實施例的下視圖。
圖8顯示較佳運動安裝配置的概圖。
圖9顯示電容耦合電漿處理設備之一實施例的剖面圖,其中該設備係電子連接至控制系統。
現在將參考附圖中所示之數個實施例來詳細說明基板支撐與電漿處理室。在下面的敘述中,會列舉許多特定的細節以提供對於本文中所揭露之實施例的全面瞭解。然而熟知此項技藝者應瞭解,本文中所揭露的實施例可在不使用部分或全部此些特定細節的情況下實施。在其他情況下,不詳細說明已知的處理步驟及/或結構以免不必要地模糊本文中所揭露的實施例。
本文中揭露一種基板支撐及電漿處理室,其經由機械調整電漿處理設備之真空室內部中的半導體基板支架,能改善半導體基板各處之電漿蝕刻均勻度。該半導體基板支架可針對半導體基板支架之上表面與真空室上壁所支撐之上電極組件之下表面之間的間隙高度與平面化來作精細調整。半導體基板支架讓半導體基板能針對間隙高度與平面化進行原位調整,以最佳化半導體基板的蝕刻均勻度。
圖1為電容耦合電漿處理設備100之一實施例之剖面概圖,電容耦合電漿處理設備100係電子連接至控制系統145,對於乾式處理反應器而言處理設備100具有離子電漿密度分配控制能力用的上噴淋頭電極組件350。氣體源140經由上噴淋頭電極組件350供給處理氣體,藉由RF電源160能將處理氣體激發成電漿,RF電源160將RF能量提供 予上噴淋頭電極組件350以在上噴淋頭電極組件350與下電極組件250之間的間隙中產生電漿。電容耦合電漿處理設備100可被用於進行各種半導體處理的半導體製造,如半導體基板50之乾蝕刻、化學氣相沈積(CVD)或其他處理。
圖2顯示電容耦合電漿處理設備100之一實施例之沿著長度方向的剖面圖。電容耦合電漿處理設備100包含真空室110、半導體基板支架200、上噴淋頭電極組件350、雙真空泵浦150a及氣體源(未顯示),半導體基板支架200包含真空室110之內部113中的下電極組件250,上噴淋頭電極組件350係由真空室110的上壁114所支撐,雙真空泵浦150a能操作以將真空室110維持在預定之真空壓力,氣體源能操作以將處理氣體供給至真空室110。處理氣體可由一或多個源氣體入口導入真空室110中,用過之處理氣體與蝕刻劑的副產物可由雙真空接口150而排出真空室110。在某些實施例中,處理氣體係以約5至約50,000sccm(每分鐘標準立方公分)較佳地約10至約5,000sccm之流量導入真空室110中。
三個獨立的致動機構300來舉升及降下半導體基板支架200以調整半導體基板支架200與上噴淋頭電極組件350之間的間隙高度與平面化。半導體基板支架200包含:具有下電極組件250的夾頭體210、充氣室211以及在充氣室211與夾頭體210之外緣213之間延伸的三個徑向延伸孔212。三個管狀支撐臂220,每一者皆包含從該夾頭體210之外緣213向外徑向延伸的第一部220a以及自該第一部220a垂直地延伸的第二部220b。第二部220b係用以與位於真空室110之外部的對應致動機構300銜合,致動機構300可操作以達到半導體基板支架200的垂直移動與平面化。
管狀支撐臂220之第一部220a可向外延伸,其延伸方向可垂直於貫穿夾頭體210中央的垂直軸或與其夾一角度。在一實施例中,第一部220a係向上而與夾頭體210夾一角度。在另一實施例中,第一部220a從夾頭體210水平地延伸,其延伸方向垂直於貫穿夾頭體210中央之垂直軸(如圖6B中所示)。
管狀支撐臂220之第一部與第二部220a、220b可具有任何期望的剖面形狀如大致呈矩形管狀及/或大致呈圓柱形管狀。管狀支撐 臂220的每一者包含在管狀支撐臂220之第一端221a與第二端221b之間延伸的內通道223。管狀支撐臂220具有密閉地密封至夾頭體210的第一端221a及耦合至對應致動機構300的第二端221b,管狀支撐臂220的各個徑向延伸孔212與通道223以自真空室110的外部接取夾頭體210的充氣室211。
管狀支撐臂220可由單一片材料所形成或者由複數分離的構件機械連接或接合(如焊接)在一起所形成。管狀支撐臂可連接或接合至半導體基板支架200俾使管狀支撐臂密閉地密封至夾頭體210。
夾頭體210的下電極組件250包含下電極251與靜電夾頭(ESC)252,靜電夾頭(ESC)252係用以將半導體基板50固定在其支撐表面253上。在其他實施例中,夾頭可以是真空或機械夾頭。真空室110在側壁119中具有開口130(如圖6A中所示)俾使半導體基板50得以被傳入處理室中並被加載或卸載於ESC 252之支撐表面253上。額外之其他適合的機構如用以舉升基板的舉升銷、光學感測器及用以冷卻下電極組件250之冷卻機構係連接至部分之下電極組件250或形成部分之下電極組件250。在一較佳實施例中,下電極組件250更包含溫度受到控制的底板254。
每一管狀支撐臂220提供用以容納服務管道163的通道223,服務管道163延伸通過管狀支撐臂220經由各個徑向延伸孔212而進入夾頭體210中。服務管道163係用以將下列者的至少一者供給予夾頭體210或自夾頭體210供給下列者的至少一者:熱傳輸氣體、溫度經控制的液體冷卻劑、RF能量、經加壓的氣體、電監控訊號或電致動訊號。例如,服務管道可用以供給經加壓的氣體至下電極組件250中之舉升銷機構的氣動致動器。其他服務管道163亦可針對各種功能而設置,例如監測基板支架200的溫度或監測背側冷卻氣體的壓力、供給氮氣、氦氣或潔淨的乾燥空氣(CDA)以維持服務管道的乾燥及/或供給電力予基板支架200的元件。
服務管道163延伸通過管狀支撐臂220的通道223以到達下電極組件250下方的設施元件。雖然通道223係對環境開放,但充氣室211中之環境壓力的總和不會等於基板支架上的任何環境負載。
管狀支撐臂220較佳地係由導電材料如鋁或陽極電鍍的鋁 所形成。由於管狀支撐臂220的外緣222a係暴露至反應處理氣體,故管狀支撐臂220可具有外保護塗膜如熱噴塗之氧化釔或與處理氣體相匹配的其他材料。
真空室110之上壁或下壁114、115可支撐RF匹配件118。在操作期間,RF傳輸構件162將RF能量供給至下電極組件250。RF傳輸構件162較佳地經由一管狀支撐臂220與對應的徑向延伸孔212將RF能量供給至夾頭體210的充氣室211,其中夾頭體210係耦合至下電極251。RF能量可具有至少一頻率例如約2MHz至約100MHz如27MHz及/或60MHz。較高的頻率如13.56、27及/或60MHz可用以激發處理氣體以在上與下電極353、251之間的間隙中產生電漿,同時可將另一頻率如2MHz的RF能量供給至下電極以對基板提供RF偏壓。RF傳輸構件162係由適合的導電材料所形成。位於各個之管狀支撐臂220之第一端221a附近的RF連接件(未顯示)收集經由RF傳輸構件162所傳輸的RF能量並將RF能量輸送至下電極組件250。
RF電源與RF導體之間之RF匹配的程度取決於RF傳輸構件162的大小。延伸通過至少一管狀支撐臂220之RF傳輸構件162的長度與直徑較佳地具有最佳值俾使經由RF傳輸構件162所輸送的RF能量在廣泛的RF頻率範圍內被最佳化。在所示的實施例中,在間隙調整期間RF傳輸構件162與RF匹配件118兩者皆一起移動。是以一旦RF傳輸構件162被設定成其最佳配置,在電漿處理期間使用不同的間隙高度時可維持此配置而毋需對其進行更進一步的調整。
在一較佳實施例中,RF傳輸構件162係與饋入夾頭體210的其他供給物絕緣。RF傳輸構件162會延伸通過一管狀支撐臂220而進入夾頭體210,以使RF供給與其他供給電絕緣,其他供給即為加熱器功率、ESC功率與氣體供給物。此類電絕緣將消除過濾來自RF傳輸構件162之剩餘RF的需要,剩餘RF可耦合至RF能量而造成干擾。
圖3顯示電容耦合電漿處理設備100之上壁114的上視圖。上壁114具有三個開口112在真空室110之上壁114的上表面114a上沿著圓周分散,其中管狀支撐臂220的第二部220b係以可移動的方式設置。在一較佳的組件中,三個開口112分散而在彼此之間形成三個角度, 其中兩個角度係介於120至165度之間而第三個角度係介於35至120度之間。
圖4A顯示一較佳實施例,其中管狀支撐臂220的第二部220b係以可移動的方式位於真空室110之上壁114的三個各別開口112中。在一實施例中,管狀支撐臂220的第二端221b係固定至真空室110之上壁114的上表面114a上的對應致動機構300,俾使致動機構300可經由上壁114中的開口112垂直地移動支撐臂而產生半導體基板支架200的垂直移動。在一替代實施例中,管狀支撐臂220的第二端221b係固定至真空室110之下壁115的下表面115a上的對應致動機構300,俾使致動機構300可經由下壁115中的開口112垂直地移動支撐臂而產生半導體基板支架200的垂直移動(見圖6B)。
三個致動機構300的每一者可包含耦合至凸緣303的步進馬達302,凸緣303支撐每一管狀支撐臂220的對應第二端221b。在一較佳實施例中,致動機構300係藉由運動安裝配置304而耦合至凸緣303。
「運動安裝」代表以可移動的方式將一剛性物體相對於另一剛性物件加以安裝的技術,藉此可獲得物體相對於彼此之極精準、可再現的定位。第一物體的位置係藉由與第二物體的六接觸點所定義。此六點必須不過度或缺乏限制第一物體的位置。在運動安裝的一常見形式中,在第一物體上的三個球狀物接觸第二物體上的特徵部例如分別為錐形凹口310、V形(或凹槽)311與平坦接觸件312。該三個球狀物315緊密地貼合在三個特徵部310、311、312內並在彼此間形成三個角度,其中兩個角度係介於120至165度之間而第三角度係介於35至120度之間。在一較佳實施例中,形成於彼此間的三個角度具有兩個角度介於120與140度之間而第三角度介於80至120度之間(見圖8)。前面說明的僅是安裝配置的實例;亦可使用各種其他的運動安裝配置。
圖4A顯示支撐半導體基板支架200之運動安裝配置304的較佳實施例,其包含圖8中所概略顯示的特徵。運動安裝配置304包含耦合至三個淚滴凸緣303a、b、c的三個步進馬達302,每一凸緣303a、b、c包含一特徵部,其中第一淚滴凸緣303a包含錐形凹口310、第二淚滴凸緣包含V-形311而第三淚滴凸緣303c包含平坦接觸件312。每一步進馬 達302驅動一球端螺絲(未顯示),其中一螺絲支撐凸緣303a、b、c中的一對應者並與凸緣303a、b、c中之對應者的特徵部接觸。凸緣303a、b、c包含開口305,開口305用來作為服務管道163的通道,服務管道163通過作為通道的開口305、對應管狀支撐臂220的通道223及對應的徑向延伸孔212。
步進馬達302係藉由控制系統145獨立控制(見圖1)。步進馬達302可用以改變半導體基板支架200的傾斜、間距與高度。經由步進馬達之獨立控制而改變間隙高度與平面化的運動安裝組件304能增加穩定度並容納致動機構300的熱膨脹。
如圖4B中所示,真空室110包含具有延伸進入內壁116中之三個垂直管道117的柱形內壁116。管狀支撐臂220的每一第二部220b皆位於對應的管道117中並可在其中移動。管狀支撐臂220之第二部220b係較佳地藉由六點運動安裝配置304所產生的運動對準而位於垂直管道117的中央,以避免垂直管道117之表面與管狀支撐臂220之外緣222a之間的摩擦。此外,真空室110包含側壁119中的開口130,半導體基板可經由開口130而被載入/卸載。
圖4C顯示雙真空接口150,其中真空泵浦150a可移除蝕刻副產物並維持真空室110之內部113中的低壓。
如圖2中所示,上噴淋頭電極組件350較佳地包含具有上電極353的噴淋頭電極及被固定至上電極353的選擇性支撐構件(未顯示)、熱控制板352及上板。上板可形成電容耦合電漿處理設備100之真空室110之可移除上壁114。在一較佳實施例中,上噴淋頭電極組件350亦可包含冷卻板351與C形限制環354。在共有之美國專利US6,974,523中可找到C形限制環354的進一步細節,將其所有內容包含於此作為參考。
上電極353可由例如矽或碳化矽所構成。上電極353較佳地為包含氣體注射孔(未顯示)的噴淋頭電極,氣體注射孔係用以將氣體分散於真空室110中。上電極353可以是單件式電極(例如具有氣體注射孔的碟形)或者是多件式電極(例如受到外電極環圍繞之內碟形噴淋頭電極,例如是不具有氣體注射孔的連續或分段環)。
在多件配置的情況下,內電極構件較佳地為柱形板(例如單 晶矽)。內電極構件可具有小於、等於或大於欲處理之450mm半導體基板50的直徑。在替代實施例中,上噴淋頭電極組件350及包含夾頭體210的半導體基板支架200可以是以可移除的方式安裝於真空室110中。
上噴淋頭電極組件350較佳地包含C形限制環354,C形限制環354係用以將電漿限制在上與下電極組件350、250所圍繞之空間中並同時讓間隙中的中性氣體成分以大致上水平的方向通過間隙。接著,中性氣體成分會流入真空室110之圓柱形內壁116與C形限制環354之外表面之間的空間中。C形限制環354實質圍繞上噴淋頭電極組件350與下電極組件250之間的內電極體積。C形限制環354可包含複數開口,每一開口實質上延伸該第一與第二電極之平表面間的內電極間隙的長度且促進氣體自上與下電極組件350、250間之間隙流至真空泵浦150。
電極間之間隙中的壓力係由真空泵浦組件所控制,真空泵浦組件連接至真空室110之下壁115的下表面115a。如此,C形限制環354分離了電漿激發用的間隙與真空室110之剩餘內部113。一般而言,相較於真空室110的體積,間隙的體積較小。由於半導體基板50的蝕刻率會直接受到間隙中之電漿的影響,C形限制環354可在不大幅實體改變真空室110的情況下致使整個間隙範圍內的小體積壓力控制及電漿限制。又,由於間隙的體積很小,所以可快速且精準地控制電漿條件。
在一較佳實施例中,電容耦合電漿處理設備100的三個致動機構300可相對於彼此獨立控制。每一致動機構300的獨立控制讓半導體基板支架200能垂直移動並致使ESC 252之支撐表面253與上噴淋頭電極組件350之下表面355之間的平面化。控制ESC 252之支撐表面253的間隙程度與平面化可在電漿處理設備100中提供更均勻的蝕刻結果。
在圖4A-C所示之實施例中,三個致動機構300係位於真空室110之上壁114的上表面114a上。管狀支撐臂220的每一第二部220b係位於真空室110之上壁114的對應開口112中。管狀支撐臂220的每一第二端221b係耦合至對應的致動機構300並將氣密可延展之真空密封件301維持在管狀支撐臂220之外緣222a與真空室110之上壁114之上表面114a之間。每一氣密真空密封件301可延展俾使每一管狀支撐臂220可藉由對應的致動機構300進行垂直移動同時維持真空室110中的期望真空壓 力。RF匹配件118係耦合至懸吊於上壁114上的一致動機構300俾使RF匹配件118在半導體基板支架200垂直移動時能與支撐臂一起移動。
圖5顯示電容耦合電漿處理設備100之替代實施例之長度剖面圖,其中三個致動機構300係位於真空室110之下壁115的下表面115a上。管狀支撐臂220的每一第二部220b係位於真空室110之下壁115的對應開口中。管狀支撐臂220的每一第二端221b係耦合至對應的致動機構300並將氣密可延展之真空密封件301維持在管狀支撐臂220之外緣222a與真空室110之下壁115之下表面115a之間。每一氣密真空密封件301可延展俾使每一管狀支撐臂220可藉由對應的致動機構300進行垂直移動同時維持真空室110中的期望真空壓力。RF匹配件118係耦合至懸吊於下壁115下的一致動機構300俾使RF匹配件118在半導體基板支架200垂直移動時能與支撐臂一起移動。
如圖9中所示,電容耦合電漿操作設備100係電子連接至控制系統145。控制系統145係電子連接至RF電源與RF匹配網路118、三個致動機構300、用以載入與載出半導體基板的半導體操控系統(未顯示)、氣體源、真空泵浦150與冷卻系統。控制系統145經由各別的電訊號來控制並協調上述系統的操作。致動機構300每一者可由控制系統145獨立地控制。在一較佳實施例中,控制系統145藉由傳輸至被包含於致動機構300中之對應步進馬達302的電訊號垂直地將半導體基板支架200放置到真空室110的內部113中。被包夾於步進馬達302與凸緣303a、b、c之間的運動安裝配置304係用以在調整間隙時造成較佳地數十微米的精準度並在調整平面化時造成較佳地小於千分之一程度的精準度。在替代實施例中,可使用其他驅動機構如伺服馬達配置。
此外,控制系統145係較佳地電子連接至用以量測間隙高度與平面化的至少一原位感測器,以致於能以反饋控制模式來進行間隙控制與平面化控制。可使用各種類型的原位感測器如雷射、電感、電容、聲敏、線性差動變壓器(LDVT)感測器來作為間隙與平面化感測器,取決於感測器的類型其可位於真空室110的內部或外部。在一較佳實施例中,電射干涉儀164係耦合至上噴淋頭電極組件350的下表面355。至少一電射干涉儀164提供訊號至控制系統145以達到ESC 252之支撐表面253與上 噴淋頭電極組件350之間之間隙高度與平面化的即時量測。
如圖7中所示,真空室110係藉由下壁115中的雙真空接口150而耦合至位於真空室110之下壁115中的真空泵浦系統。真空泵浦系統包含雙真空泵浦150a如電漿反應室系統中常用類型的渦輪分子泵、設置在半導體基板支架200與真空泵浦150a之間並用以控制真空室110之內部113中之真空程度的對應閘閥(未顯示)。真空泵浦系統可包含連接至真空泵浦150a與真空室110並用以在初始階段泵抽排空真空室內部區域113的粗略泵浦(未顯示)。閘閥可以是電機械式故可藉由來自控制系統145的電訊號遠端操作。根據不同的應用,真空泵浦系統與處理氣體在一起能將腔室中的壓力降低至介於約1mTorr至約1。在本實施例中真空泵浦系統的位置因為管狀支撐臂220而得以位於夾頭體210的正下方,能較佳地造成氣體軸向對稱流動。
本文中所揭露的實施例能針對450mm晶圓之處理來致使下電極相對於間隙高度與平面化具有精準位向。由於使用者介面係位於電漿處理室的外部,下電極間隙高度與平面化的調整可在真空、環境及原位條件下的電漿處理系統中進行。本文中所述之實施例亦允許在不拆解電漿處理系統的情況下進行調整。有利地,可最小化系統停機時間且毋需損害產量,並同時滿足電極間隙高度與平面化調整的需求。
雖然參考文中的特定實施例詳細說明了本發明,但熟知此項技藝者應瞭解,在不脫離隨附申請專利範圍的情況下可對實施例進行各種變化與修改並使用等效物。

Claims (22)

  1. 一種用於電漿處理設備中之半導體基板支架,包含:夾頭體,具有充氣室及在該充氣室與該夾頭體之外緣之間延伸的三個徑向延伸孔,該夾頭體的尺寸經過設計以支撐具有至少450mm之直徑的半導體基板;及連接至該夾頭體的三個管狀支撐臂,每一該管狀支撐臂包含從該夾頭體之該外緣向外徑向延伸的第一部以及自該第一部垂直地延伸的第二部,每一該管狀支撐臂定義與該徑向延伸孔中之一者連通的一通道,每一該第二部係配置成與對應的致動機構銜合,該致動機構可操作以達到該夾頭體的間隙高度之垂直移動與平面化調整。
  2. 如申請專利範圍第1項之用於電漿處理設備中之半導體基板支架,其中該管狀支撐臂的該第一部垂直於貫穿該夾頭體之中央的垂直軸或與該垂直軸夾一角度而向外延伸。
  3. 如申請專利範圍第1項之用於電漿處理設備中之半導體基板支架,其中該管狀支撐臂的該第二部自該管狀支撐臂之個別第一部在該夾頭體上方垂直延伸、或者自該管狀支撐臂之個別第一部在該夾頭體下方垂直延伸。
  4. 如申請專利範圍第1項之用於電漿處理設備中之半導體基板支架,其中該管狀支撐臂沿著圓周分散而在彼此之間形成三個角度,該等角度其中二者係介於120至165度之間而第三個角度係介於35至120度之間。
  5. 一種電容耦合電漿處理設備,包含:真空室;如申請專利範圍第1項之該半導體基板支架,位於該真空室的內部;上噴淋頭電極組件,由該真空室的上壁所支撐; 下電極組件,被包含於該半導體基板支架中,該下電極組件包含下電極以及具有支撐表面的靜電夾頭(ESC),該半導體基板被支撐於該支撐表面上;該真空室之外壁中的三個開口,該管狀支撐臂的該第二部係位於該三個開口中;三個致動機構,位於該真空室的該外壁上且連接至該管狀支撐臂的該第二部,該三個致動機構可獨立地將該管狀支撐臂向上與向下移動;至少一個真空接口,位於下壁中並連接至至少一真空泵浦,該真空泵浦可操作以將該真空室維持在預定之真空壓力;及氣體源,可操作以經由該上噴淋頭電極組件將處理氣體供給至該真空室。
  6. 如申請專利範圍第5項之電容耦合電漿處理設備,其中可延展之真空密封件密封該外壁中的每一該開口且維持每一該管狀支撐臂之外緣與該外壁間的真空氣密密封,俾使每一該管狀支撐臂能藉由個別該致動機構垂直移動而不將該真空室的內部暴露至大氣壓力。
  7. 如申請專利範圍第5項之電容耦合電漿處理設備,其中該三個致動機構可相對於彼此獨立控制以達到該上噴淋頭電極組件與該ESC之該支撐表面之間的預定間隙高度以及達到該ESC之該支撐表面的預定平面化。
  8. 如申請專利範圍第7項之電容耦合電漿處理設備,其中每一該致動機構包含耦合至該真空室之該外壁上之安裝件的步進馬達,該安裝件固定至個別該管狀支撐臂,俾使該管狀支撐臂以可移動的方式位於該真空室之該外壁中的個別開口中。
  9. 如申請專利範圍第6項之電容耦合電漿處理設備,其中三個致動機構係位於該真空室之該上壁的上表面上。
  10. 如申請專利範圍第6項之電容耦合電漿處理設備,其中該三個致動機 構係位於該真空室之該下壁的下表面上。
  11. 如申請專利範圍第5項之電容耦合電漿處理設備,其中該真空室包含在該真空室之該下壁中的兩個真空接口,該下壁與該半導體基板支架係被一開放區域所分隔,該真空接口係連接至兩個真空泵浦,該真空泵浦自該真空室之該內部移除氣體並將該真空室之該內部維持在低於500mTorr的壓力。
  12. 如申請專利範圍第5項之電容耦合電漿處理設備,其中該半導體基板支架包含複數服務管道,該服務管道經由該徑向延伸孔延伸通過該管狀支撐臂之該通道中的一或多者而進入該夾頭體中,該服務管道係用以將下列者的至少一者供給予該夾頭體或自該夾頭體供給下列者的至少一者:熱傳輸氣體、溫度經控制的液體冷卻劑、RF能量、經加壓的氣體、電監控訊號或電致動訊號。
  13. 如申請專利範圍第5項之電容耦合電漿處理設備,其中該下電極係藉由RF傳輸構件而耦合至射頻(RF)電源,該RF傳輸構件延伸通過該管狀支撐臂中的一者。
  14. 如申請專利範圍第13項之電容耦合電漿處理設備,其中只有該RF傳輸構件係位於該管狀支撐臂中的一者,該服務管道係位於其他該管狀支撐臂中的一或多者,該服務管道係用以將下列者的至少一者供給予該夾頭體或自該夾頭體供給下列者的至少一者:熱傳輸氣體、溫度經控制的液體冷卻劑、經加壓的氣體、電監控訊號或電致動訊號。
  15. 如申請專利範圍第5項之電容耦合電漿處理設備,其中該上噴淋頭電極組件包含位於該真空室之該上噴淋頭電極組件及該下電極組件之外圍周圍的C形限制環,該C形限制環實質上圍繞該上噴淋頭電極組件與該下電極組件之間的所有內電極體積且包含複數開口,每一該開口實質上延伸該上噴淋頭電極組件之平表面與該ESC之該支撐表面間之內電極間隙 的長度,且促進氣體自該內電極體積排放至該真空室之剩餘體積。
  16. 如申請專利範圍第5項之電容耦合電漿處理設備,其中該下電極組件更包含溫度經控制的底板。
  17. 如申請專利範圍第5項之電容耦合電漿處理設備,其中該真空室包含具有三個垂直管道延伸進入內壁的圓柱形內壁,該管狀支撐臂係位於該管道中且可在該管道中垂直移動。
  18. 如申請專利範圍第5項之電容耦合電漿處理設備,更包含與該致動機構電連通的控制系統,用以控制間隙高度與平面化。
  19. 如申請專利範圍第18項之電容耦合電漿處理設備,更包含至少一雷射干涉儀,該雷射干涉儀提供訊號至該控制系統以達到該上噴淋頭電極組件與該ESC之該支撐表面之間之該間隙高度與平面化的即時量測。
  20. 如申請專利範圍第19項之電容耦合電漿處理設備,其中該控制系統基於該至少一電射干涉儀所取得的量測值來控制該致動機構以原位調整該上噴淋頭電極組件與該ESC之該支撐表面之間的該間隙高度與平面化,俾達到該半導體基板的均勻蝕刻。
  21. 一種在如申請專利範圍第5項之電漿處理設備中蝕刻半導體基板的方法,包含:將半導體基板放置到該真空室內之該ESC的該支撐表面上;垂直地移動該半導體基板支架以達到該半導體基板與該上噴淋頭電極組件之下表面之間的預定間隙高度;量測該半導體基板與該上噴淋頭電極組件之該下表面之間的平面化以判定該基板與該上噴淋頭電極之間是否存在期望的平面化;原位調整該半導體基板相對於該上噴淋頭電極組件之該下表面的平面化; 自氣體源將氣體供給至該真空室中;及將該氣體充能成為電漿狀態並利用該電漿來蝕刻該半導體基板。
  22. 如申請專利範圍第21項之在如申請專利範圍第5項之電漿處理設備中蝕刻半導體基板的方法,其中在該電漿蝕刻期間調整該半導體基板的該原位平面化。
TW102118849A 2012-05-31 2013-05-28 在電漿處理室中提供間隙高度及平面化調整之基板支架 TWI622120B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/485,166 2012-05-31
US13/485,166 US8895452B2 (en) 2012-05-31 2012-05-31 Substrate support providing gap height and planarization adjustment in plasma processing chamber

Publications (2)

Publication Number Publication Date
TW201405700A TW201405700A (zh) 2014-02-01
TWI622120B true TWI622120B (zh) 2018-04-21

Family

ID=49670718

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107103643A TWI663686B (zh) 2012-05-31 2013-05-28 在電漿處理室中提供間隙高度及平面化調整之基板支架
TW102118849A TWI622120B (zh) 2012-05-31 2013-05-28 在電漿處理室中提供間隙高度及平面化調整之基板支架

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW107103643A TWI663686B (zh) 2012-05-31 2013-05-28 在電漿處理室中提供間隙高度及平面化調整之基板支架

Country Status (4)

Country Link
US (2) US8895452B2 (zh)
KR (2) KR101826376B1 (zh)
SG (2) SG10201509785VA (zh)
TW (2) TWI663686B (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8895452B2 (en) 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
JP6293499B2 (ja) * 2014-01-27 2018-03-14 株式会社日立ハイテクノロジーズ 真空処理装置
US9290843B2 (en) 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
KR102352739B1 (ko) * 2014-04-09 2022-01-17 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처
US11333246B2 (en) * 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US10533251B2 (en) 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10269545B2 (en) * 2016-08-03 2019-04-23 Lam Research Corporation Methods for monitoring plasma processing systems for advanced process and tool control
US20180073143A1 (en) * 2016-09-12 2018-03-15 Toshiba Memory Corporation Plasma processing apparatus and plasma processing method
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10504738B2 (en) * 2017-05-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Focus ring for plasma etcher
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
DE102017126448A1 (de) 2017-11-10 2019-05-16 Aixtron Se Vorrichtung und Verfahren zur Nachjustierung eines Gaseinlassorgans in einem Reaktorgehäuse
JP7475337B2 (ja) * 2018-09-28 2024-04-26 アプライド マテリアルズ インコーポレイテッド 動的水平化を備えた同軸リフト装置
CN111326389B (zh) * 2018-12-17 2023-06-16 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
FI130051B (en) * 2019-04-25 2023-01-13 Beneq Oy DEVICE AND METHOD
CN111725111B (zh) * 2020-06-24 2023-08-18 北京北方华创微电子装备有限公司 半导体工艺设备的反应腔室及半导体工艺设备
KR102417422B1 (ko) * 2020-07-09 2022-07-06 주식회사 한화 플라즈마 전극부를 구비한 기판 처리 장치
CN114446748B (zh) * 2020-10-30 2024-05-10 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其工作方法
CN114649178A (zh) * 2020-12-18 2022-06-21 中微半导体设备(上海)股份有限公司 一种下电极组件及等离子体处理装置
US20230105279A1 (en) * 2021-10-05 2023-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for adjusting location of a wafer and a top plate in a thin-film deposition process
KR20240000350A (ko) * 2021-04-26 2024-01-02 램 리써치 코포레이션 기판 지지부와 가스 분배 디바이스 사이의 갭을 측정하기 위한 장치들

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090199766A1 (en) * 2008-02-08 2009-08-13 Tappan James E Electrode orientation and parallelism adjustment mechanism for plasma processing systems
TW200943457A (en) * 2008-02-08 2009-10-16 Lam Res Corp Adjustable gap capacitively coupled RF plasma reactor including lateral bellows and non-contact particle seal
TW201108349A (en) * 2009-03-24 2011-03-01 Lam Res Corp Method and apparatus for reduction of voltage potential spike during dechucking
TW201130727A (en) * 2006-11-29 2011-09-16 Advanced Display Proc Eng Co System and method for introducing a substrate into a process chamber
TW201201245A (en) * 2010-05-21 2012-01-01 Lam Res Corp Movable chamber liner plasma confinement screen combination for plasma processing apparatuses

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5210466A (en) 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5448399A (en) 1992-03-13 1995-09-05 Park Scientific Instruments Optical system for scanning microscope
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5904487A (en) 1996-10-08 1999-05-18 Advanced Micro Devices, Inc. Electrode reshaping in a semiconductor etching device
US6075792A (en) 1997-06-16 2000-06-13 Interdigital Technology Corporation CDMA communication system which selectively allocates bandwidth upon demand
US6229264B1 (en) 1999-03-31 2001-05-08 Lam Research Corporation Plasma processor with coil having variable rf coupling
JP4578651B2 (ja) 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
US6377437B1 (en) * 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6364958B1 (en) 2000-05-24 2002-04-02 Applied Materials, Inc. Plasma assisted semiconductor substrate processing chamber having a plurality of ground path bridges
AU2002227418A1 (en) 2001-01-22 2002-08-06 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
US6974523B2 (en) 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US7713432B2 (en) 2004-10-04 2010-05-11 David Johnson Method and apparatus to improve plasma etch uniformity
KR20060079341A (ko) * 2004-12-30 2006-07-06 동부일렉트로닉스 주식회사 반도체 공정챔버의 수평 조절용 보조장치 및 이를 이용한수평 조절방법
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7419551B2 (en) 2006-05-03 2008-09-02 Applied Materials, Inc. Plasma reactor with apparatus for dynamically adjusting the plasma source power applicator and the workpiece relative to one another
US7431797B2 (en) 2006-05-03 2008-10-07 Applied Materials, Inc. Plasma reactor with a dynamically adjustable plasma source power applicator
US7829815B2 (en) 2006-09-22 2010-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable electrodes and coils for plasma density distribution control
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
JP2009054630A (ja) 2007-08-23 2009-03-12 Tokyo Electron Ltd シリンダ停止位置可変機構及びそれを備えた基板処理装置
US20090120584A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
KR100994497B1 (ko) 2008-11-17 2010-11-15 엘아이지에이디피 주식회사 기판합착장치 및 이를 이용한 기판합착방법
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
US8895452B2 (en) 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201130727A (en) * 2006-11-29 2011-09-16 Advanced Display Proc Eng Co System and method for introducing a substrate into a process chamber
US20090199766A1 (en) * 2008-02-08 2009-08-13 Tappan James E Electrode orientation and parallelism adjustment mechanism for plasma processing systems
TW200943457A (en) * 2008-02-08 2009-10-16 Lam Res Corp Adjustable gap capacitively coupled RF plasma reactor including lateral bellows and non-contact particle seal
TW201108349A (en) * 2009-03-24 2011-03-01 Lam Res Corp Method and apparatus for reduction of voltage potential spike during dechucking
TW201201245A (en) * 2010-05-21 2012-01-01 Lam Res Corp Movable chamber liner plasma confinement screen combination for plasma processing apparatuses

Also Published As

Publication number Publication date
US20130323860A1 (en) 2013-12-05
SG195469A1 (en) 2013-12-30
KR101826376B1 (ko) 2018-02-06
SG10201509785VA (en) 2015-12-30
KR102009595B1 (ko) 2019-08-09
KR20180018614A (ko) 2018-02-21
TW201814827A (zh) 2018-04-16
TW201405700A (zh) 2014-02-01
KR20130135158A (ko) 2013-12-10
US8895452B2 (en) 2014-11-25
TWI663686B (zh) 2019-06-21
USRE47275E1 (en) 2019-03-05

Similar Documents

Publication Publication Date Title
TWI622120B (zh) 在電漿處理室中提供間隙高度及平面化調整之基板支架
US20230197501A1 (en) Plasma processing apparatus
KR101445416B1 (ko) 구성가능한 베벨 에처
JP5567392B2 (ja) プラズマ処理装置
CN102315150B (zh) 用于等离子体处理室的可移动基环
KR100392549B1 (ko) 플라즈마처리장치
US7547860B2 (en) Microwave plasma processing apparatus for semiconductor element production
CN103681304A (zh) 电容耦合等离子体处理装置中的喷头电极组件
TW201941298A (zh) 用於電漿處理中之均勻性控制的漸縮上電極
JP2018120881A (ja) 真空処理装置
CN102142357A (zh) 等离子处理装置
US20220213959A1 (en) Chamber body design architecture for next generation advanced plasma technology
JP2019145721A (ja) 真空処理装置
US20220356574A1 (en) Cvd device pumping liner
JP2024509867A (ja) リフトピン機構
KR20210089787A (ko) 온도에 민감한 프로세스들을 위해 열적 커플링이 개선된 정전 척
KR20200051505A (ko) 배치대 및 기판 처리 장치
JP2020136622A (ja) 調整用冶具、調整方法及び位置ずれ測定方法
US20230102487A1 (en) Minimizing reflected power in a tunable edge sheath system
US20230059495A1 (en) Optimization of Radiofrequency Signal Ground Return in Plasma Processing System
US20230054699A1 (en) Radiofrequency Signal Filter Arrangement for Plasma Processing System
JP2023520034A (ja) 一体型シールを備える冷却エッジリング
KR20230152141A (ko) 진공 처리 장치 및 기울기 조정 방법
KR20230092685A (ko) 포커스 링을 포함하는 기판 처리 장치
TW202409339A (zh) 利用邊緣環升降的動態鞘控制