TW201108349A - Method and apparatus for reduction of voltage potential spike during dechucking - Google Patents

Method and apparatus for reduction of voltage potential spike during dechucking Download PDF

Info

Publication number
TW201108349A
TW201108349A TW099108693A TW99108693A TW201108349A TW 201108349 A TW201108349 A TW 201108349A TW 099108693 A TW099108693 A TW 099108693A TW 99108693 A TW99108693 A TW 99108693A TW 201108349 A TW201108349 A TW 201108349A
Authority
TW
Taiwan
Prior art keywords
gas
substrate
chamber
plasma
lift
Prior art date
Application number
TW099108693A
Other languages
Chinese (zh)
Other versions
TWI502681B (en
Inventor
Brian Mcmillin
Jose V Tong
Yen-Kun Victor Wang
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201108349A publication Critical patent/TW201108349A/en
Application granted granted Critical
Publication of TWI502681B publication Critical patent/TWI502681B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32788Means for moving the material to be treated for extracting the material from the process chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Provided is a substrate dechucking system of a plasma processing chamber adapted to remove a substrate from an ESC with reduction in voltage potential spike during dechucking of the substrate.

Description

201108349 六、發明說明: 【發明所屬之技術領域】 本發_.—種半導體製針所使狀靜電夾 有關於在基板鑛姚綱自Esc移除基板之方法射統。匕 【先前技術】 。、静電夾盤可用以在進行處理(例如電漿餘刻)時固定 圓以及其他基板。在處理期間,靜電夾盤(ESC)係使用靜電位^曰其 於ΐ當位置。藉由板箝制於此夾盤,可將例如i 二5τ,·、性亂體配置在基板與夾盤之間,以改善基板與夾般之 理升降雞―㈣ 广其ESC Μ現的目難為了從趋移除絲,必須移 之間的殘留靜電力。此殘留力係因為電荷在基板盘 I 累積所引起。為了移除或解除基板夾 施加 這些. 然而 細反上的所有電荷時並非找有效。通常需 夹&成困難。又,非期望的微粒會在解除基板 處理期間產生,此會污染已處理的基板。 儘&發展至今,吾人仍關注可在已處理之基柢之解昤冰桩地 間降低任何料之設備與方法。 基板之驗夾持期 【發明内容】 之解於7種_處理室的基板解除夾持系統,在基板 基才^。…日,/、係用以於降低電壓尖峰之情況下自ESC移除 於自電漿處理室之靜電夾盤解除基板夾持的方法中,將處理 至電極之夾持電壓的極性可被反轉,而使電極放電次者 201108349 處產生電漿鞘層,將基板抬升至支撐表面上方而 上部位置’ ^此上部位置,基板可從電漿室被移除。 !達 在另-實施例中,提供—種電漿反應器的氣動 其中升降銷在相^於靜電夾盤之至少三個位置上升起與降下美 ,。二b:降機構較佳包含垂直對準之氣動式操作上部又下ς 塞,,、中上部活塞被可滑動地安裝,以在上部腔室内上下 而下部活塞被可滑動地安裝,以在下部腔室內上下移動,H 部腔室包含強辦止件,其㈣界定下部 佳=,)一下部位置,上部與丄ί於= (?—中間升降位置,下部活塞於此係位於上部位 止件接觸’且自下部活塞向上延伸的軸可*上部活 塞接觸,而部分地升起上部活塞;以及(3)—向上位置,上部』塞 糸位於上。卩位置,且被支撐在由上部活塞所驅動之升降銷i ϊίΐί夠從賴室移除。上部活塞包含上部軸,此上部轴與驅 ^升降鎖的扼(yoke)配合,而⑴在上部與下部活塞位於下部位置 時,將基板下降到基板載台上;在活塞位於中間升降位置時, 高至,升降位置;以及⑶在上部活塞位於上部位置 %,將土板升咼至上部位置,基板於此可被運送手臂移除。 1父佳方法中,此處理室為—賴㈣室,而此處理包含 f基板的上表面附近產生電漿,並且以電漿來蝕刻位在基板之上 f面上的曝露層。或者’此處理可包含在基板之上表面上形成-層(例如翻化學氣械積、熱氧化、雜或其他沉前程)。又, 此處理可包含從基板剝離光阻或其他材料。 4 201108349 【實施方式】 該指定之數值或範圍的±10%細内。 ’其係在 除夹明—種能夠自ESC解除夾持時用以降低尖峰的解 ,基板可包含肋製赫體電路的半導體㈣ 用以製造平面顯示器的玻璃基板、或與玻璃載: 較佳實施例係與電漿反應器結合實施,此電201108349 VI. Description of the invention: [Technical field to which the invention pertains] The present invention relates to a method of electrostatically clamping a semiconductor needle for a method of removing a substrate from a substrate.匕 [Prior technology]. The electrostatic chuck can be used to secure the circle and other substrates while processing (e.g., plasma remnant). During processing, an electrostatic chuck (ESC) uses an electrostatic potential to be in a proper position. By clamping the chuck to the chuck, for example, i 2 5τ,······························································ To remove the filament from the tendon, it is necessary to move the residual electrostatic force between. This residual force is caused by the accumulation of charge on the substrate tray I. These are applied to remove or remove the substrate clip. However, all charges on the fine side are not valid. It is usually necessary to clamp & Also, undesired particles are generated during the process of releasing the substrate, which contaminates the processed substrate. Since the development of the & development, we are still concerned about the equipment and methods that can reduce any material between the treated ice piles. The inspection and clamping period of the substrate [Summary of the Invention] The solution for removing the substrate from the seven types of processing chambers is performed on the substrate. In the method of reducing the voltage spike, the polarity of the clamping voltage applied to the electrode can be reversed by the method of removing the electrostatic chuck from the plasma processing chamber to remove the substrate from the ESC. Turning, the electrode discharge is generated at 201108349, and the substrate is lifted above the support surface and the upper position '^ the upper position, the substrate can be removed from the plasma chamber. ! In another embodiment, a pneumatic reactor is provided in which the lift pins are raised and lowered at at least three positions of the electrostatic chuck. The second b: the lowering mechanism preferably includes a vertically aligned pneumatically operated upper and lower tampon, and the upper middle piston is slidably mounted to be slidably mounted in the upper chamber and the lower piston in the lower portion. The chamber moves up and down, the H chamber contains a strong stop, and (4) defines the lower part =, the lower part position, the upper part and the 丄ί in = (? - intermediate lifting position, the lower piston is located in the upper part of the stop The shaft contacting 'and extending upward from the lower piston can be *the upper piston is in contact, and the upper piston is partially raised; and (3) - the upper position, the upper portion is located on the upper side, and is supported by the upper piston The drive lift pin i 够ίΐί is removed from the chamber. The upper piston includes an upper shaft that cooperates with the yoke of the lift lock, and (1) lowers the base plate when the upper and lower pistons are in the lower position. On the substrate stage; when the piston is in the intermediate lifting position, up to the lifting position; and (3) in the upper position of the upper piston, the soil plate is raised to the upper position, and the substrate can be removed by the transport arm. In a preferred method, the processing chamber is a chamber (4), and the processing comprises generating a plasma near the upper surface of the f substrate, and etching the exposed layer on the surface of the substrate on the f surface by plasma. The layer is formed on the upper surface of the substrate (for example, chemical vapor deposition, thermal oxidation, impurity or other sinking process). Further, the treatment may include stripping the photoresist or other material from the substrate. 4 201108349 [Embodiment] Within ±10% of the specified value or range. 'It is used to reduce the peak solution when the clamp can be removed from the ESC. The substrate can contain a semiconductor with a ribbed circuit (4). The glass substrate of the display, or in combination with a glass carrier: a preferred embodiment in combination with a plasma reactor, this electricity

Exelan-Exelan-

Cahforma,Frem〇nt 的 Lam Research c〇物紐⑽購得。八 随z以二t二兩種不同頻率(例如27 6,391,787號’此無容乃合併於此 Ϊ極^巧^應⑽電容_合式電_刻反應器的情況下,底i °車乂仏係被供應約500至3000瓦特的处能量, 二 除夹,操耕,可任意地供應5G瓦特陳低瓦特數。仃解 如,;ίίΐ气實施例係與感應耦合式電漿反應器結合實施。例 、多見八同擁有之美國專利第7,223,321號,此案内容乃人構 以照。在操作此種反應器時’反應氣體會流/人到腔室^, 場。此、1施級軸,以在、賴關產生電磁 Ϊ形ϋΪ __内,並且對反應《供給能量 庳哭^顯示依照—示範實施例的電漿反應11。關於此種電默反 ==外細節可巧同讓與之美國專利申請案第 4估二5夂’此案内谷乃合併於此以供參照。然而,吾人應瞭解到, 極S _造的處理室以及内部構件(包含下部與上部電 ° I至壁以及處理氣體分配系統)。例如,可參見共同擁有之美 5 201108349 此案内容乃合併於此 國專利第6,824,627號以及第7,428,550號, 以供參照。 在圖1中,電容麵合式電漿處理室卿具有安裝於其内的電 漿限制環組件10。電漿處理室1〇〇包含具有下表面綱的上部^ 極102。在此實施例中,下表面104包含台階1〇6,其用以控制 成在上部電極102的曝露表面附近之電漿的局部密度,如美 利第6,391,787號所述’此案全部内容乃藉由參昭方於^ 在此實施例中,上部電極1()2為-喷淋頭電極Γ其“。二 108 ’這些氣體通道係為了將處理氣體分配到電漿處理室内而 配置。上部電極102可由石夕(例如單晶石夕或多晶石夕)或碟化石夕所構 成。 在此實施例中’上部電極102為單件式(single_piece)電極(例如 用於200 mm的晶圓處理)。上部電極102較佳係被安裝(例如彈性 體接合)至由合適材料(例如石墨或碳化矽)所製成的支撐構件 110,此支撐構件包含氣體通道112,其與上部電極102中的對應 氣體通道108流體連通。 … 此上部電極可為平面電極或非平面、階狀的上部電極,例如 共同擁有之美國專利第6,391,787號所揭露的喷淋頭電極。此即 電極可由任何合適的導電性材料所製成。舉例而言,此上部電極 可包含高純度、低電阻係數的單晶體,而此下部電極可包含金屬, 例如石夕(如經過摻雜的石夕)' 铭等等。 在另一貫施例中’此上部電極可具有兩件式或分段的構造(例 如用於較大晶圓之處理,如300 mm的晶圓),並且包含單件式内 電極構件以及圍繞此内電極構件的外電極構件,如共同擁有之美 國專利申請案第2005/0133160號所述,此案全部内容乃以參照方 式合併於此。在此實施例中,此支撐構件較佳係包含與内電極構 件共同延伸的支撐板、以及與外電極構件共同延伸的支撐環,如 美國專利申請案第2005/0133160號所述。 在圖1所示之電漿處理室1〇〇的實施例中,熱控制板114較 佳係被設置在支撐構件11()上。熱控制板114較佳係包含一或多 6 201108349 個加熱器,其用以控制上部電極1〇2的溫度,如共同擁有之美國 ,利申請案第2005/0133160號所述,此案内容乃合併於此以供參 電漿處理室100包含氣體源(未顯示)’其用以將處理氣體供應 至上部電極102。此處理氣體係藉由上部電極1〇2中的氣體 =8而被分配在此腔室内。上部電極1〇2可經由匹配網路而被即 電源U6供給電力。在另一實施例中,上部電極1〇2可被電性接 地,以提供由電漿處理室100之基板載台12〇之底部電極所供 之電力的回程路徑(retum path)。 一在此實施例中,處理氣體在電漿產生區域被供應到電漿處理 至100内,此電漿產生區域係位於上部電極1〇2盥半導沪其 導體晶圓)之_如内,此轉縣板被讀ϋ板 除了半導體晶圓以外’基板122可包含待加工成平面顯 的=离面板’或者待處理之由玻璃基板所載送的石夕晶圓(例如用‘ 二晶片整合)。基板122可包含在處理期間待被選擇性移除、 ’或者’此處理可包含在此基板上 ^ j 或例如光阻剝離的其他處理。 人夕Ί14層 基板載台120較佳係包含靜電夾盤124,其 ==?122固定在此基板載台上。靜電== 。或女裝在底。p電極(亦稱為下部電極)上,並且可由卵 ⑶、 127至少其中一者供給電力(一般係經由匹配網路)。 ” 此下部電極可用以供應RF功率,而自 :處理,產生電毁,並且選擇地將㈣壓 此置在基板處理期間傾向於較不具攻擊连 能量亦可被絲在級—形_層麵生= 201108349 用以後電漿中的離子朝向基板加速’這些離子可於基板啟動處理 反應。 下部電極的外周緣較佳係被設置成至少延伸超出晶圓的外 緣,而將-邊緣環配置在下部電極的上方並且圍繞此晶圓。 在進行半導體基板122的電漿處理時,電漿限制環組件10可 將電漿限制於上部電極102與半導體基板122之間的電聚限制區 ΪΓ ίίίΐ26、128較佳係配置成圍繞半導體基板122以集中 電漿,俾忐改善姓刻均勻性。 較佳為雜分子式幫_—衫㈣(未 處理室100的内部維持期望的真空壓力。 W用以便軍水 將之—示範平行板㈣齡細)電聚反應器為雙頻電 桌,d反應益(例如參見共同擁有之美國專利第6,〇9〇,3〇4號,此安 以:參照)。在此種反應器中’可將蝕刻氣體從ί ίί將;^儒頭電極及/或底部電極,而在此反應器中產 之淋稱極雜接地,且可㈣不同頻率 之RF月b里(、應至此底部電極。 雷極二去产矣ί板基板被裝載到此腔室内,並且被放置在下部 _w〇ek)ii ‘ 機械手雜齡)可從晶圓承載 有能夠被升降機°升降銷組件(未顯示)具 S 上此 以允件美板構可升起這些升降銷,而將基板舉離下部電極, 手臂而從處_除。 如共同擁升降軛㈣細屻而升起與降下,例 以供參照或者美^1第6,646,857號所述,此案内容乃合併於此 actuated)升降錯甘书極亦可包含升降銷’例如觀致動式(cable 啲,/、可朝向支撐表面移動以及離開支撐表面,以使 8 201108349 ΐϊίίΐΐϊ電極中的孔洞而升起與降下基板。用以在真空室 第致動式购組件被揭露於制齡之美國專利 量一約、二’此案内容乃合併於此以供參照。升降銷孔的數 在下佳,一種侧基板的方法包含:將基板支樓 2處理氣體供應至腔室並且對處理氣體供給能量 各種石夕及r或介的曝露表面。此處理係適用於 介雷=的^ ’^敍/或介電層包含低介電常數 ::;摻雜的魏 覆芸導μ %換雜物包含删、鱗、及/或石申。此介電質可 列如多晶石夕、金屬(如銘、銅、鈦、i ί 石夕ϋ鎢、 ΐ化 氮化鈦)、金屬魏物(如魏^ 、石夕二‘: 將矽Γί ίΓί 丨ESC可肋在氧化物侧處理期間 由在ESC 缸。晶《溫度控制可藉 而實現。用以㈣;面(背側)之間所供應之加塵氦(He) 6}14〇612 =_e可在不再施加i制“之以:參 ,夹持之_的G會使微S 造於晶圓前側上的積體電漿侧表面,並且會對製 201108349 為了減輕電彡Μ理基板的微粒污染,可將晶圓曝露 體,此被認為可協助在後續基板升降(為了從電漿處理 = 將基板電壓之變化降至最低。為達成此目標的—種方法係使)用= 械升降銷,以將晶圓部分地升起至中間升降位置,因此 至少部分地定位在電漿鞘層(plasmasheath)内。 將曰曰囫 、在啟動解除央持電漿時’可選擇地施加低氣背壓(例如 i 稍微分離晶圓’但在賴媳滅之前關。若在賴熄滅時 持、.貝施加He,背側微粒會被氦流搬運到晶圓的前側。〜、 於-實施例中,在關閉施加至Esc的電壓並且終止施 "面的He供應之後,當吾人將電漿_腔室中時 ^ 將基板抬離ESC。將基板維持在電漿勒 === 晶圓下方並且防止電漿不安定性。 万止電水牙透 上部i熄滅^,而將基板升高至基板可被運送離開腔室之 虽=在實施例_沒有說明解除夹持需要力感測器或解 ’若希望的話,亦可使用其中—者或兩者。 板夾t i t例二種在電漿處理室中自靜電夹盤解除基 極之間的_內^ 理㈣供應到位於上部電極與底部電 在支撐表^藉由^岸靜電爽盤固定而抵靠 支ίί·之真空壓力下;將基板抬升至 電聚ΐ勒層内不致引發電聚不安定性或使 持續一適if升降位置;使基板維持在中間升降位置 方而到基板抬升蝴表面上 合物特徵’此處理氣體可為氩、氮或其混 藍寳石)而土進降鎖(其係由電絕緣材料所製成,^ 内靜電夾般之支‘^升^肖可將基板抬升至位於中間升降位置 凰支粒表面上方0,5到3 mm(例如1.5到2 _)之處。 10 201108349 此基板可為矽或其他材料所製成之晶圓,並且可具有至少一 材料層。 $ …在f降基板之前’較佳狀況係制靜電鐘的電壓設定成相 對於預d已由賴而誘發於晶圓上的偏壓之—預定值,並且終止 氣體至基板下側。位於上部與下部電極之間的間隙可為任 距離,例如至少2G醜;而腔室内的真空壓力可為任何 適虽的數值,例如具有15到500 mT〇rr之範圍的數值,舉例來說, 上至 25、5〇、1〇〇、15〇、2〇〇、25〇、或 3〇〇 mT〇rr。當基板被抬 至上部位置時,此基板較佳係被升高至支撐表面上方至少9 mm(例如9_5到12.5 mm)之處。 圖2A顯示半導體晶圓升降裝置的橫剖面圖,其可用以實現上 述晶圓解除夾持方法.。關於此種裝置的額外細節在丘 美國專利第明卿號中發現,此翻容乃合併於此以= 此半導體晶圓升降裝置包含若干升降銷228,這些升降銷可透過適 當數目的貫穿部226(其係取決於基板的尺寸)而穿過靜電夾盤 2〇4丨一般而言,存在有3或4個升降銷228 ’這些升降銷等距= 開,並且被連接至升降軛(liftingy〇ke)23〇。藉由伸縮囊(bdl_)232 以及密封環234,將升降銷228與靜電夾盤204之間的空間與此夾 盤下方的空間隔開。使用伸縮囊232可允許升降軛23〇相對於夾 盤204而移動,而無損處理室内的大氣隔離。應變計(strain gauge)242被設置在絕緣材料240與導螺桿244之間,此導螺桿被 馬達246所驅動而移動升降軛230。吾人應注意到導螺桿244可被 任何種類的連桿所替代,例如氣動式升降機構,只要其能夠升起 與降下銷升降軛230即可。 ,應變計242將資訊信號傳送至例如數位信號處理器(DSp, digital signal processor)25〇的控制器,此數位信號處理器又將信號 傳送至馬達控制器252 ’此馬達控制器又將信號傳送至馬達246, 以控制導螺桿244的定位。編碼器248以馬達246作為介面,並 且用以將信號傳送至馬達控制器252。由編碼器248所提供的資訊 可包含導螺桿244的當前位置資料。 、 201108349 升降銷228可用以接觸晶圓206的下側,並且尤其係在中間 ^降處,期間施加-小的力,以防止高應力的施加,^高應力的 施加可能會在晶圓被靜電箝制至夾盤2〇4時導致晶圓損壞。。 升降軛230可漸增地向上移動而將晶圓2〇6舉 受到抵抗晶圓206升降的力時,這些力會‘升降ί 士膽專遞至可選的應變计242。又,自應變計242的應變量測可 測。若監測的應變力並非在可接受的範圍内, 、去^右需要% ’以及相關軟體)可命令馬達控制器252停止馬 達增強,並且可暫停(timeout)而允許晶Η 2〇 晶圓206與夹盤綱之間的靜電吸引力可被進一;^電當;^ 閾值時’即停止增強馬達的。此閾值較佳為一設定值,-苴可識別 由升降銷所施加的力何時會達到可能引起晶圓損壞的程度。因 ,,閾值正好低於可能引起晶圓損壞的程度,並且以此種方式, 在充为放電尚未自然發展時,可保護晶圓免於受到過多的力。 在重力下’可接受的.力極限係分佈於約以司與約5磅之間, =於晶_尺相定。若所監_力係在可接受的賴内,Dsp 以及相關权體可命令馬達控制器、252繼續增強馬達。在增強期 曰W付接於馬達246的編碼器248可監測導螺桿244的位置,並 且用信$將導螺桿的位置發給馬達控制器252。於是,當到達期望 的升降高度時」馬達控制器议可命令馬達施停止升降輥23〇。 料ϊ 2B顯不當升降銷228升降晶圓206時之半導體晶圓升降裝 钟、κ咅面圖圖2B所不之構件係相同於圖2八所示與所述的構 =。圖2B顯示當晶圓206如上所述被舉離夾盤2〇4而到達中間升 以及之制達上部位置時之升轉23G的移動。此時,晶 圓206可待被機械手的葉片摘離升降銷228。然後,另一個晶圓 可被放置在升降銷228上,俾使其可被降下至夾盤2〇4上而進 行處理。 ' f-替代貫施例中’氣動式銷升降可被使用來代替馬達246 螺巧’以控制此銷升降機構的衝程。例如,藉由分離加 【氣版源(示$11的氣體包含空氣、氬、氦、以及氮)而操作的驅動系 12 201108349 ,’可用以使銷升降輛以及升降銷移動至:上部位置, 基板可被用以運送基板出人電漿室之搬運手f運送 及自升關移除;下部位置,於此位置,基n 動作可融使跡下所述參相3从的升降硬體喊成。升牛 -銷升降機構可在控制器的控制下進行操作,此控 測升降銷的位置並且指揮此銷升降機構將升;= 少上部(亦稱為「全上」或簡稱為「上」)、中間升降、以 置。-升降機構較佳係包含-或多個位置感測器,更佳係 測益’其用以_此機構何時在中間升降位置以及上位置[ 種光學感測器可_此輛或固定至此辆之一或多個標記的移動。 用於電漿反應器的一示範升降機構被顯示在圖3A、3B、以 3C中。此種機構可替代圖2A與2B的馬達以及相關構件。上 塞301以及下部活塞302分別被可滑動地安裝在上^以 及下部腔室305中,其皆位於外罩303内。上部活塞观包含^ 直延伸上部軸311,其較佳係可操作地連接至銷升降輛(未顯 下部活塞302包含垂直延伸下部轴3!2,其可用以部分地升高此上 部活塞。因為固定地安裝外罩303,所以腔室3〇4與3〇5較佳係相 對於ESC而為靜止。此種升降機構不需使用應變計或相似物(例如 力感測裔)’然而例如可將其使用在上部轴311與銷升降軛之間。 如圖3A所概略顯示’升降機構到下方位置的致動 方式而達成:透過第-入口 3〇6施加氣體壓力(例如 psig,較佳為90 psig)以對上部腔室304的上部分進行加壓。此壓 力可對上部活塞遍施加-向下力,並且迫使此減其升降銷到 達下方位置,*升降_上端低於ESC上表面。較錄況為,亦 透過入口 308 ’將90psig或例如5〇psig的較低壓力施加至下部腔 室305的頂部,以確保下部活塞302不會抬升上部活塞3〇1。二 如圖3B所概略顯示,到中間升降位置的致動可藉由下列方式 而達成:在透過第四入口 309將較高的氣體壓力(例如7〇到12〇 psig ’較佳係90 psig)施加至下部腔室305的底部時,透過第一入 13 201108349 口鄕將氣體壓力(例如25_65 psig,較佳係50或60psig)施力σ至上 部腔室304的頂部。因此,下部活塞302可基於位在下部腔室305 ^頂部的強制停止件310的位置而被升起^預定距離,其中強制 停止件310較佳係已被預調整,以合意地判定中間升降位置。下 部活塞302的下部軸312接著將上部活塞301推至對應之部分升 起位置,俾能將基板升高至ESC上方的中間升降位置,以使其可 在電漿鞘層内而不引發電漿不安定性。第三入口 可對下部腔 至305的頂部進行排氣,並且選择地在進行降下步驟時,可在降 下上部活塞301之前被加壓而使下部活塞3〇2降下。 一如圖3C所概略顯示,到全上位置的致動(以促進晶圓的移除) 可藉由下列方式而達成:在透過第一開口 306施加5〇psig(或者選 ^地施加65、70、或75 psig),以對上部腔室304的上部分進行加 壓時’透過第二入口 307將氣體壓力(例如6〇到12〇psig,較佳係 9〇psig)施加至上部腔室304的下部分,藉以升高上部軸311。 ^在中間升降以及全上致動期間施加至上部腔室之頂部的相反 ,力]可用以限制在晶圓未被完全解除夾持之情況下(舉例而言, 若晶圓對錢表現出非預躺高吸引力時)的最大上升力,並因此 可將損壞晶圓的危險降至最低。此相反壓力亦可降低晶圓上的突 ,力(sudden f0rce) ’此突發力會使晶圓與升降銷失去期望的定位關 係。任意地,施加至升降銷的力可被使用作為已執行解除夾持的 一個指標。 較佳係中間升降位置將晶圓升起至Esc上方約〇5到3 _(例如2 mm到3 mm)之處,以及上位置將晶圓升起至ES(:上 方約 9.5 mm(0.375 叶)到 12.5 mm(0.433 吋)之處。. 在一較佳實施例中,用以供應升降機構的壓力可來自供應管 線’例如獨立的5〇 psig(或者可選的仍、7〇、或75响)以及9〇; 氣體供應管線。通往入口 3〇6·的氣體供應可由電控開關闕加以 控制’此糊較佳储鮮jH(例如亦控制反絲之直 情況的控制器)啟動至開啟或關閉位置。 八” 圖4顯示祕氣紐動驗體之示範控齡_示意圖。氣 14 201108349 ,致動器400的第二至第四入口 3〇7、3〇8、以及3〇9各自連接至 氣,庫410而其弟一入口 306則連接至梭閥(shuttie vaive)4〇i。 此氣動庫包含可控制閥。此氣動庫接收來自較高壓氣體供應器420 的較向壓$體(例如6。到12G psig,較⑽9。psig),.並且域應 =控制邏輯控制器44〇加以控制,而如期望將氣體供應至入口 λα^08、以及3〇9、以及梭閥401。除了接收當自氣動庫傳送時 卜,梭間4〇1亦被連接而接收來自較低壓氣體供應器 浐^ 湾’或者選擇地提供65、7〇、或75 PSig)的氣體。 #軏佳係用以自動地將較高的接收壓力供應至第一入口 ϋ其預設供練低壓氣體,或者在氣動庫將較高壓氣體 =至㈣i時供應較高魏體),或者次佳為闕門‘ 控測與控制邏輯控制器440可接收來自選用之 4(未”、、員不)的輸入,以例如彳貞測輕之位置。 用以執行中間升降步獅—升降機 =的電壓—起使用,且可或不將He氣體不供應 除夾持電壓時,峨較佳係被設定成在 V t偏,内的數值’此偏壓係在升降晶圓 V _G V,辦。議—EXE== W' 2?MHZ s 杰二兩网v</、糸在日日圓上之約20v的量測偏壓内。單獨使用解降 困電步驟,例如作為中間升降步驟的部 此會靜^吸引污染物而使其附著於基板。、U間產生尖峰, 用氦背壓時,較佳的、二&曰25丁〉、,地在電漿鞍層内。若使 才姓眺力為2_5T〇rr,更佳為3丁⑽。然而,在一 201108349 .可使驗晶_魏_中間升降 圖5顯示自ESC解除夾持時之晶圓電壓 里 破折號交替而成的線係顯示以G 。以點與 持然後進行電漿關閉升降的晶圓電壓電聚解除夹 虛線係顯示以、氦背側壓力進 除除夾持」); 關閉升降的晶圓電壓(標示為「3THe解除 I後進行電裝 不以ο Τοιτ氦背侧壓力進行電漿解持後」):从及實線係顯 解除夾持步驟的晶圓梅示為ΐ中間動中間 置。吾人可注意到,為了易讀性升在中間升降位 節。]了删表了域關於執行這侧試時之參數的額= 的晶降而到達在電_層内 2二之:_圓升降 除夹持^期圓t相較於無背屋的情況,在ί 以m i t!月壓’可降低電壓尖峰的大小。 之测試的電i室操二數除^^間用電漿開啟中間升降步驟 示「主侧㈣表以^其他的表中,「廳」係表 用以設定被施加至Esc的晶圓简其可 KDechuckl)」(在解除夾持繁 、」係表不解除夾持 使電漿反應器安定化),以始降低功率設定,其 2)」(顯示在解除夹持期間的功率設」^表不解除夹持2(DeChUck 16 201108349 表1 中間升降解除夹持 步驟說明 安定性 起弧 ME DC1 DC2 中間升降 RF關閉 壓力(mtorr) 120 120 100 0 50 50 0 RF 2MHz 功率(w) 0 50 100 1 0 0 0 RF 27MHz 功率(w) 0 50 100 50 50 50 0 步驟類型 穩定 期間 期間 期間 期間 期間· 期間 處理時間(sec) 20 5 25 4 16 10 2 C4F8 (50.0 seem) 13 13 13 0 0 0 0 C〇(510.0 seem) 65 65 65 0 0 0 0 〇2 (52.0 seem) 8 8 .8 0 0 0 0 Ar (1010.0 seem) 800 800 800 400 400 400 400 氦內部區域(torr) 30 30 30 30 0 0 0 氦外部區域(t〇r「) 30 30 30 30 0 0 0 ESC偏壓模式 固定 固定 DCProbe DCProbe 固定 固定 DCProbe ESC 偏壓(土 Vdc) 200 200 0 0 -50 -50 0 ESC全時間偏壓補償 啓用 啓用 停用 啓用 啓用 啓用 啓用 升降銷位置 下方 下方 下方 下方 下方 中間 中間 以下,表2顯示用於0T He解除夾持測試而無電漿開啟中間 升降步驟的電漿室操作參數。 表2 0T He解除夾持 步驟說明 安定性 起弧 ME DC1 DC2 麵 中間升降 壓力(mtorr) 120 120 100 0 50 0 0 RF 2MHz 功率(w) 0 50 100 1 0 0 0 RF 27MHz 功率(w) 0 .50 100 50 50 0 0 步驟類型 穩定 期間 期間 期間 期間 期間 期間 處理時間(sec) 20 5 25 4 16 2 2 C4F8 (50.0 seem) 13 13 13 0 0 0 0 CO (510.0 seem) 65 65 65 0 0 0 0 〇2 (52.0 seem) 8 8 8 0 0 0 0 Ar (1010.0 seem) 800 .800 800 400 400 0 400 氦內部區域(torr) 30 30 30 30 0 0 .0 氦外部區域(torr) 30 30 30 30 0 0 0 ESC偏壓模式 固定 固定 DCProbe DCProbe DCProbe DCProbe DCProbe ESC 偏壓(士 Vdc) 200 200 0 0 0 0 0 ESC全時間偏壓補償 啓用 啓用 停用 啓用 啓用 停用 啓用 升降銷位置 下方 下方 下方 下方 下方 下方 中間 17 201108349 以下,表3顯示用於3T He解除夾持測試而無電漿開啟中間 升降步驟的電漿室操作參數。 表3 3T He解除夾持 步驟說明 安定性 起弧 ME DC1 DC2 麵 中間升降 壓力(mtorr) 120 120 100 0 50 0 〇 RF 2MHz 功率(w) 0 50 100 1 0 0 〇 RF 27MHz 功率(w) 0 50 100 50 50 0 0 步驟類型 穏定 期間 mm 期間 期間 期間 期間 處理時間(sec) 20 5 25 4 15.5 2 2 C4F8 (50.0 seem) 13 13 13 0 0 0 0 CO (510.0 seem) 65 65 65 0 0 0 〇 〇2 (52.0 seem) 8 8 8 0 0 0 π Ar (1010.0 seem) 800 800 800 400 400 0 400 氦內部區域(torr) 30 30 30 30 3 — 3 π 氣外部區域(torr) 30 30 30 30 3 〇 π ESC驅模式 固定 固定 DCProbe DCProbe DCProbe DCProbe DCProbe ESC 偏壓(土 Vdc) 200 200 0 0 0 0 0 ESC全時間偏壓補償 啓用 啓用 停用 啓用 啓用 停用 故m 卉降銷位置 下方 下方 下方 下方 1 ^ ) IJ 下方 •S用 中間 — 间^ ,…丨、.肌电水關叫丌卬旳έ,在銷上升時的 峰可藉_整解除_雜而純控制。兩條深色 以及一條細線)係顯示其中解除夾持電壓為_5〇 v π 果,其會在解除夾持時造成大的正電壓尖峰。較 ^ n 結果,其會在解除夾持時造成大的負電壓尖峰為复J之兩操作的 破折號、點、以及星號所構成)係顯示其 夹、(由短 之三操作的結果,相較於其他範例,其合ί Α待电S為-25 V 的電壓尖峰。藉由適當選擇施加至Esc : 3持日夺造成較小 上升時的晶圓電壓尖峰降至最低。這些=二持電壓’可將銷 持步驟之後接著電漿關閉升降之情況ϋ。'、在電漿開啟解除夹 相較之下’圖®顯示在進行解除夹^ 期間啟動之具有各贿除夾持電 4在t間升降步驟 下,在銷上升時伴隨電漿啟動,銷上y曰圓m。於此情況 .蝴㈣m尖峰在相較 201108349 =更感度) ’並且對解除夹持電壓 況(其可能需要不同的解降低種種情 圖7顯示在晶圓上所量測二;,:[大峰方面應為有用。 解除夾持:伴隨以及不伴隨3T裹北;=木,此晶圓係以下列條件 伴隨電漿啟動中間升降步驟(分“上、,除夾持電聚啟動’但不 除夾持處理時使用電漿開啟中間升降牛,驟^者在進行解 He電漿關閉解除夹持,使 二驟(縱列Q。相較於3 Tbrr 持期間伴隨〇 He以及二^^·1解除夾持(在電漿解除夾 微粒數。 从电相啟中間升降)處方可產生較低的 於較分地嶋^ 運,並且在某種程产上仙力所引起之到晶圓的微粒搬 To订He背側麼力“到之^解除$與升降期間使用0 若正晶圓電缝降至最低時二低。例如, 粒以,雜耻_㈣太可射側微 應瞭解麵m及範例而進行說明,但吾人 制。因此,本發====係被視為手段功能限 【圖式簡單說明】 =為依照-貫闕之電漿反應n的橫剖面側視圖,· 為基板鎖升降系、统的圖,其令此系統被支撐在ESC上。 上方 圖犯為圖μ之銷升降系統的圖,其中此基板已被舉至Ex 圖 A為處於下方位置之示範氣動式升降硬體的圖。圖3b為 19 201108349 處於中間升降位置之-μ 範升降硬體的圖。不靶升降硬體的圖。圖3C為處於上位置之示 ‘5 i示在,之不範控制系統的示意圖。 圖Λ種方法進行解除夾持時的基板電壓。 伴基板夹持而不 s:7顯不使用包含或不包含氦背壓以及中間升降電漿啟動步 驟之〇種方法解除夾持之基板的微粒污染程度。 夕 【主要元件符號說明】 10電漿限制環組件 100電漿處理室 102上部電極 104下表面 106台階 108氣體通道 110支撐構件 112氣體通道 114熱控制板 116 RJF電源 120基板載台 122半導體基板 124靜電夾盤 126 RF電源 126邊緣環 127奸電源 128邊緣環 204靜電夾盤 206 晶圓 226 貫穿部 20 201108349 228 升降銷 230 升降扼 232 伸縮囊 234 密封環 240 絕緣材料 242 應變計 244 導螺桿 246 馬達 248 編瑪器 250 數位信號處理器 252 馬達控制器 301 上部活塞 302 下部活塞 303 外罩 304 上部腔室 305 下部腔室 306 第一入口 307 第二入口 308 第三入口 309 第四入口 310 強制停止件 311 上部軸 312 下部軸 400 氣動式致動器 401 梭閥 410 氣動庫 420 較南壓氣體供應器 430 較低壓氣體供應器 440 感應與控制邏輯控制器Cahforma, Fram〇nt's Lam Research c 纽 纽 (10) was purchased. Eight with z with two t two different frequencies (for example, 27,391,787 'this is not included in this bungee ^ Qiao ^ should (10) capacitor _ combined electric _ reactor, the bottom i ° rut The lanthanide system is supplied with energy of about 500 to 3,000 watts, and the second is divided into clamps and ploughed, and can be supplied with 5 watts of low watts arbitrarily. 仃解如;; ίίΐ gas system combined with inductively coupled plasma reactor For example, U.S. Patent No. 7,223,321, which is owned by U.S. Patent No. 7,223,321, is incorporated herein by reference. In the operation of such a reactor, 'reaction gas will flow/man to the chamber ^, field. The level axis, in the electromagnetic Ϊ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ Let us know that the US Patent Application No. 4 is estimated to be included in this case for reference. However, we should understand that the processing chamber and internal components (including the lower and upper parts) To the wall and process gas distribution system). For example, see the common ownership of the beauty 5 201108349 The contents of the present invention are incorporated herein by reference in its entirety. U.S. Patent Nos. 6,824,627 and 7,428,550, the entire disclosure of which is incorporated herein by reference in its entirety in its entirety the the the the the the the 1〇〇 includes an upper electrode 102 having a lower surface profile. In this embodiment, the lower surface 104 includes a step 1〇6 for controlling the local density of the plasma adjacent the exposed surface of the upper electrode 102, such as U.S. Patent No. 6,391,787, the entire contents of which is hereby incorporated by reference in its entirety, in the present embodiment, the upper electrode 1 () 2 is a sprinkler electrode “ ". In order to distribute the processing gas into the plasma processing chamber, the upper electrode 102 may be composed of Shi Xi (for example, single crystal or polycrystalline stone) or disc fossil. In this embodiment, the upper electrode 102 is a single. A single (piece) electrode (eg, for 200 mm wafer processing). The upper electrode 102 is preferably mounted (eg, elastomer bonded) to a support member 110 made of a suitable material (eg, graphite or tantalum carbide). , the support member includes a gas passage 1 12, which is in fluid communication with a corresponding gas channel 108 in the upper electrode 102. The upper electrode can be a planar electrode or a non-planar, stepped upper electrode, such as the spray disclosed in commonly-owned U.S. Patent No. 6,391,787. The electrode can be made of any suitable electrically conductive material. For example, the upper electrode can comprise a single crystal of high purity, low resistivity, and the lower electrode can comprise a metal, such as a stone (eg, blended) Miscellaneous Shi Xi) ' Ming et al. In another embodiment, 'this upper electrode can have a two-piece or segmented configuration (eg for processing larger wafers, such as 300 mm wafers), and The single-piece inner electrode member and the outer electrode member surrounding the inner electrode member are described in the commonly-owned U.S. Patent Application Serial No. 2005/0133160, the entire disclosure of which is incorporated herein by reference. In this embodiment, the support member preferably comprises a support plate coextensive with the inner electrode member and a support ring coextensive with the outer electrode member, as described in U.S. Patent Application Serial No. 2005/0133160. In the embodiment of the plasma processing chamber 1 shown in Fig. 1, the thermal control plate 114 is preferably disposed on the support member 11(). The thermal control board 114 preferably includes one or more 6 201108349 heaters for controlling the temperature of the upper electrode 1 〇 2, as described in the commonly owned U.S. Patent Application No. 2005/0133160, the content of which is This is incorporated herein for the plasma processing chamber 100 to include a gas source (not shown) that is used to supply process gas to the upper electrode 102. This process gas system is distributed in this chamber by gas = 8 in the upper electrode 1〇2. The upper electrode 1〇2 can be supplied with power via the matching network, i.e., the power source U6. In another embodiment, the upper electrode 1〇2 can be electrically connected to provide a retum path for power supplied by the bottom electrode of the substrate stage 12 of the plasma processing chamber 100. In this embodiment, the processing gas is supplied to the plasma processing to 100 in the plasma generating region, and the plasma generating region is located in the upper electrode 1 〇 2 盥 semi-conductive semiconductor wafer) In addition to the semiconductor wafer, the 'substrate 122 can include a wafer to be processed into a flat panel = off-panel' or a silicon wafer that is to be processed by a glass substrate (for example, integrated with 'two wafers') ). Substrate 122 can include other processes to be selectively removed during processing, or 'or this process can be included on this substrate or stripped, for example, photoresist. The substrate stage 120 preferably includes an electrostatic chuck 124, and its ==?122 is fixed on the substrate stage. Static electricity == . Or women's clothing at the end. The p-electrode (also referred to as the lower electrode) is powered by at least one of the eggs (3), 127 (generally via a matching network). This lower electrode can be used to supply RF power, while: processing, generating electrical damage, and selectively placing (four) pressure on the substrate during processing tends to be less attacking energy or can be generated by the wire at the level-shape. 201108349 Accelerates the ions in the plasma toward the substrate. These ions can initiate the processing reaction on the substrate. The outer periphery of the lower electrode is preferably disposed to extend at least beyond the outer edge of the wafer, and the edge-edge ring is disposed at the lower electrode. Above and surrounding the wafer. When performing the plasma processing of the semiconductor substrate 122, the plasma confinement ring assembly 10 can limit the plasma to the electro-concentration confinement region between the upper electrode 102 and the semiconductor substrate 122 ΐ ίίίΐ 26, 128 The system is configured to surround the semiconductor substrate 122 to concentrate the plasma to improve the uniformity of the surname. It is preferably a hetero-molecular gang--shirt (four) (the interior of the untreated chamber 100 maintains the desired vacuum pressure. The demonstration parallel plate (four) age-old electropolymer reactor is a dual-frequency electric table, and the reaction benefits are (for example, see commonly-owned U.S. Patent No. 6, 〇9〇, No. 3, No. 4, hereby: reference). In the reactor, 'the etching gas can be from ίίί; ^ Confucian electrode and / or bottom electrode, and the shower produced in this reactor is called extremely heterogeneous grounding, and (4) RF month b of different frequencies (, should At this point, the bottom electrode. The thunder pole two goes to the 矣 plate, which is loaded into the chamber, and is placed in the lower part of the _w〇ek) ii 'manipulators of the age" can be carried from the wafer to be able to be lifted by the elevator (not shown) with S on the upper plate to raise these lift pins, and lift the substrate off the lower electrode, the arm from the _ to be removed. If the common hoisting yoke (four) is raised and lowered, For example, as described in US Pat. No. 6,646,857, the content of the case is incorporated in the actuated), and the lift pin can also include a lift pin, such as a viewable actuator (cable 啲, /, can be moved toward the support surface) And leaving the support surface to raise and lower the substrate in the hole of the 8 201108349 ΐϊ ΐΐϊ ΐΐϊ 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 Merge here for reference. Number of lift pin holes Preferably, the method of the side substrate comprises: supplying the processing material of the substrate branch 2 to the chamber and supplying the processing gas with various kinds of exposed surfaces of the stone and the r or the medium. The treatment is applicable to the medium of the mine = ^ ^ The dielectric layer or the dielectric layer contains a low dielectric constant::; doped Wei 芸 μ % % 换 换 包含 包含 包含 包含 包含 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 此 此 。 。 。 。 。 。 (such as Ming, copper, titanium, i ί Shi Xi ϋ tungsten, bismuth titanium nitride), metal Weiwu (such as Wei ^, Shi Xi two ': Will 矽Γ ί ί Γ 丨 ESC ribs during the oxide side treatment by In the ESC cylinder, the crystal temperature control can be realized by (4); the dust (He) supplied between the surface (back side) 6}14〇612 =_e can be no longer applied i : 参, the G of the clamp will cause the micro S to be formed on the side surface of the integrated plasma on the front side of the wafer, and it will be used to reduce the particle contamination of the electro-treated substrate, and the wafer may be exposed. This is believed to assist in the subsequent substrate lift (to minimize the change in substrate voltage from plasma processing =). One way to achieve this goal is to use the = mechanical lift pin to partially raise the wafer to the intermediate lift position and thus at least partially within the plasma sheath.曰曰囫 ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' The backside particles are turbulently transported to the front side of the wafer. ~, in the embodiment, after closing the voltage applied to the Esc and terminating the He supply of the face, when we are in the plasma_chamber ^ Lift the substrate away from the ESC. Maintain the substrate under the plasma === under the wafer and prevent the plasma from being unstable. The electric water is moved through the upper part i and the substrate is lifted to the substrate and can be transported away from the cavity. Although there is no need to explain the force sensor or the solution in the embodiment _, if you want, you can use either or both. Plate clamps are two kinds of self-electrostatic clamps in the plasma processing chamber. The _ internal (between) and the bottom of the disk are supplied to the upper electrode and the bottom of the support plate is fixed by the shore static electrostatic plate to abut against the vacuum pressure; the substrate is raised to the electro-convergence Do not cause electropolymerization instability or continue to adjust the position within the layer; Holding in the middle lifting position to the substrate to lift the surface of the butterfly surface feature 'this gas can be argon, nitrogen or its mixed sapphire) and the soil into the lock (which is made of electrically insulating material, ^ internal static The clip can be lifted to 0, 5 to 3 mm (for example, 1.5 to 2 _) above the surface of the granule in the middle lift position. 10 201108349 This substrate can be made of tantalum or other materials. The wafer is fabricated and may have at least one material layer. $... Before the substrate is lowered, the voltage of the electrostatic clock is set to be biased relative to the pre-d on the wafer. a predetermined value and terminating the gas to the underside of the substrate. The gap between the upper and lower electrodes may be any distance, for example at least 2G ugly; and the vacuum pressure within the chamber may be any suitable value, for example having 15 to 500 The value of the range of mT〇rr, for example, up to 25, 5〇, 1〇〇, 15〇, 2〇〇, 25〇, or 3〇〇mT〇rr. When the substrate is lifted to the upper position, Preferably, the substrate is raised to at least 9 mm above the support surface (eg, 9_5 to 12.5 mm) Figure 2A shows a cross-sectional view of a semiconductor wafer lift apparatus that can be used to implement the wafer lift-off method described above. Additional details regarding such a device are found in U.S. Patent No. The semiconductor wafer lift apparatus includes a plurality of lift pins 228 that pass through the electrostatic chucks 2 through a suitable number of through portions 226 (depending on the size of the substrate). That is, there are 3 or 4 lift pins 228' These lift pins are equidistant = open and are connected to a lifting yoke 23. The bellows (bdl_) 232 and the seal ring 234, the lift pins The space between the 228 and the electrostatic chuck 204 is spaced from the space below the chuck. The use of the bellows 232 allows the lifting yoke 23 to move relative to the chuck 204 without damaging the atmosphere within the processing chamber. A strain gauge 242 is disposed between the insulating material 240 and the lead screw 244, and the lead screw is driven by the motor 246 to move the lift yoke 230. It should be noted that the lead screw 244 can be replaced by any type of connecting rod, such as a pneumatic lifting mechanism, as long as it can raise and lower the pin lifting yoke 230. The strain gauge 242 transmits the information signal to a controller such as a digital signal processor (DSp) 25 ,, which in turn transmits the signal to the motor controller 252 'this motor controller transmits the signal again To motor 246 to control the positioning of lead screw 244. Encoder 248 interfaces with motor 246 and is used to communicate signals to motor controller 252. The information provided by encoder 248 may include the current position data of lead screw 244. , 201108349 The lift pin 228 can be used to contact the underside of the wafer 206, and especially at the intermediate portion, during which a small force is applied to prevent the application of high stress, and the application of high stress may be electrostatically applied to the wafer. Wafer damage caused by clamping to the chuck 2〇4. . As the lift yoke 230 can incrementally move upward to lift the wafer 2〇6 against the force of the wafer 206, these forces will be 'elevated' to the optional strain gauge 242. Also, the strain measurement from the strain gauge 242 is measurable. If the monitored strain force is not within an acceptable range, the % required right and the associated software can command the motor controller 252 to stop the motor boost and can timeout to allow the wafer 2 to be wafer 206 The electrostatic attraction between the chucks can be advanced; ^^ when the power is off; ^ when the threshold is stopped, the motor is stopped. This threshold is preferably a set value, and - 苴 can identify when the force applied by the lift pins will reach a level that could cause wafer damage. Because, the threshold is just below the level that can cause wafer damage, and in this way, the wafer can be protected from excessive force when the charge-discharge has not yet developed. Under gravity, the acceptable force limit is distributed between about 1 lb and about 5 lbs. If the supervisory force is within acceptable limits, the Dsp and associated weights may command the motor controller, 252 to continue to enhance the motor. The encoder 248, which is coupled to the motor 246 during the boost period, can monitor the position of the lead screw 244 and send the position of the lead screw to the motor controller 252 with the letter $. Thus, when the desired lift height is reached, the motor controller can command the motor to stop the lift roller 23〇. The material ϊ 2B shows that the semiconductor wafer lifting and lowering of the lift pin 228 when lifting and lowering the wafer 206 is not the same as that of the structure shown in FIG. Figure 2B shows the movement of the lift 23G as the wafer 206 is lifted off the chuck 2〇4 as described above to reach the intermediate lift and to the upper position. At this time, the crystal circle 206 is ready to be lifted off the lift pin 228 by the blade of the robot. Then, another wafer can be placed on the lift pins 228 so that it can be lowered onto the chucks 2〇4 for processing. The 'f-replacement' pneumatic pin lift can be used instead of the motor 246 screw to control the stroke of the pin lift mechanism. For example, the drive train 12 201108349, which is operated by separating and adding a gas source (the gas containing $11 contains air, argon, helium, and nitrogen), can be used to move the pin lift and the lift pin to: the upper position, the substrate It can be transported by the transporter f for transporting the substrate out of the plasma chamber and removed from the lift; in the lower position, at this position, the base n action can be fused to the lifting hardware of the reference phase 3 . The lifting cow-pin lifting mechanism can be operated under the control of the controller, which controls the position of the lifting pin and commands the lifting mechanism to rise; = the upper part (also referred to as "all above" or simply "upper") In the middle, it is raised and lowered. - the lifting mechanism preferably comprises - or a plurality of position sensors, preferably a factor of 'when the mechanism is in the intermediate lifting position and the upper position [the optical sensor can be used or fixed to the vehicle The movement of one or more markers. An exemplary lifting mechanism for a plasma reactor is shown in Figures 3A, 3B, and 3C. Such a mechanism can replace the motor of Figures 2A and 2B and related components. The plug 301 and the lower piston 302 are slidably mounted in the upper and lower chambers 305, respectively, which are located within the outer cover 303. The upper piston view includes a straight extension upper shaft 311 that is preferably operatively coupled to the pin lift (the lower lower piston 302 includes a vertically extending lower shaft 3! 2 that can be used to partially raise the upper piston. The cover 303 is fixedly mounted, so that the chambers 3〇4 and 3〇5 are preferably stationary relative to the ESC. Such a lifting mechanism does not require the use of strain gauges or the like (for example, force sensing). It is used between the upper shaft 311 and the pin lifting yoke. As shown schematically in Fig. 3A, the actuation of the lifting mechanism to the lower position is achieved by applying a gas pressure through the first inlet 3〇6 (e.g., psig, preferably 90). Psig) pressurizes the upper portion of the upper chamber 304. This pressure exerts a downward force on the upper piston and forces it to lower its lift pin to the lower position, and the upper end is lower than the upper surface of the ESC. It is noted that a lower pressure of 90 psig or, for example, 5 psig is also applied to the top of the lower chamber 305 through the inlet 308' to ensure that the lower piston 302 does not lift the upper piston 3 〇 1. As shown schematically in Figure 3B To the middle of the lifting position This can be achieved by applying a higher gas pressure (e.g., 7 to 12 psig 'preferably 90 psig) through the fourth inlet 309 to the bottom of the lower chamber 305 through the first inlet 13 201108349 The mouth applies a gas pressure (e.g., 25-65 psig, preferably 50 or 60 psig) to the top of the upper chamber 304. Thus, the lower piston 302 can be based on a forced stop 310 positioned at the top of the lower chamber 305. The position is raised by a predetermined distance, wherein the forced stop 310 is preferably pre-adjusted to desirably determine the intermediate lift position. The lower shaft 312 of the lower piston 302 then pushes the upper piston 301 to the corresponding partially raised position. , the crucible can raise the substrate to an intermediate lifting position above the ESC so that it can be in the plasma sheath without inducing plasma instability. The third inlet can exhaust the lower chamber to the top of the 305, and select Alternatively, during the lowering step, the lower piston 3〇2 can be lowered prior to lowering the upper piston 301. As shown schematically in Figure 3C, actuation to the upper position (to facilitate wafer removal) ) by the following It is achieved that the gas pressure is transmitted through the second inlet 307 when 5 psig is applied through the first opening 306 (or 65, 70, or 75 psig is applied) to pressurize the upper portion of the upper chamber 304. (e.g., 6 to 12 psig, preferably 9 psig) is applied to the lower portion of the upper chamber 304, thereby raising the upper shaft 311. ^ applied to the top of the upper chamber during intermediate lift and full actuation Conversely, force] can be used to limit the maximum lift force when the wafer is not completely unclamped (for example, if the wafer exhibits a non-pre-high attraction), and thus can be damaged The risk of wafers is minimized. This opposite pressure can also reduce the sudden force on the wafer. This sudden force can cause the wafer and the lift pin to lose the desired positioning relationship. Optionally, the force applied to the lift pins can be used as an indicator that the lift release has been performed. Preferably, the intermediate lift position raises the wafer to approximately 到5 to 3 _ (eg, 2 mm to 3 mm) above the Esc, and the upper position raises the wafer to the ES (: approximately 9.5 mm above (0.375 leaves) ) to 12.5 mm (0.433 吋). In a preferred embodiment, the pressure used to supply the lifting mechanism can come from the supply line 'eg a separate 5 psig (or optional still, 7 〇, or 75) Sound supply and 9 〇; gas supply line. The gas supply to the inlet 3〇6· can be controlled by the electric control switch ' 'This paste is better to store the jH (for example, the controller that also controls the straight condition) Open or close the position. Eight" Figure 4 shows the demonstration of the age of the secret gas test body _ schematic. Gas 14 201108349, the second to fourth inlets of the actuator 400 3〇7, 3〇8, and 3〇9 Each is connected to the gas, library 410 and the other inlet 306 is connected to a shuttle valve vaive 4〇i. This pneumatic library contains a controllable valve. This pneumatic library receives a relatively pressure from the higher pressure gas supply 420. Body (eg, 6 to 12G psig, (10) 9. psig), and the domain should = control logic controller 44 to control, as expected Gas is supplied to the inlets λα^08, and 3〇9, and the shuttle valve 401. In addition to receiving when transported from the pneumatic library, the shuttle 4〇1 is also connected to receive from the lower pressure gas supply 浐^ 湾' Or selectively provide 65, 7 〇, or 75 PSig) of gas. #軏佳 is used to automatically supply higher receiving pressure to the first inlet ϋ its preset for low pressure gas, or in the pneumatic library will be more High-pressure gas = to (four) i supply higher body), or sub-optimal to the trick' control and control logic controller 440 can receive input from the selected 4 (not), no, for example, speculation Light position. For the purpose of performing the intermediate lift rifle-lift = voltage, and may or may not supply He gas without the clamping voltage, 峨 is preferably set to a value within V t , which is the bias It is used to lift the wafer V _G V. Discussion - EXE == W' 2? MHZ s Jie two two net v < /, 糸 within the measurement bias of about 20v on the yen. The step of decomposing the trapping power alone, for example, as a portion of the intermediate lifting step, will attract contaminants and adhere to the substrate. A sharp peak is generated between U and U., when pressed back by the crucible, preferably, the second & 25, and the ground is in the plasma saddle layer. If the surname is 2_5T〇rr, it is better to be 3 (10). However, in 201108349, the crystallographic_wei_intermediate lifting diagram 5 shows that the line system in which the dash is alternated from the wafer voltage when the ESC is unpinned is displayed as G. The wafer voltage electro-convergence of the point and holding and then the plasma is turned off and off is shown by the dotted line, and the pressure of the back side is removed and removed.) The wafer voltage of the lift is turned off (marked as "3THe is released after I" After the plasma is not dissipated by the pressure on the back side of the ο Τοιτ氦, the wafer is removed from the solid line and the wafer is removed. We can notice that in order to improve the readability, it is raised in the middle lifting section. ] The table is deleted and the number of parameters in the execution of this side test is the crystal drop of the amount = 2 in the electric _ layer: _ round lifting in addition to clamping the period circle t compared to the case without the back house, In ί mit! month pressure 'can reduce the size of the voltage spike. In the test, the electric i-chamber operation is divided into two. In addition, the plasma is used to open the intermediate lifting step. The main side (four) table is shown in the other table. The "hall" table is used to set the wafer to be applied to the Esc. It can be KDechuckl)" (when the clamping is released, the system does not release the clamping and stabilize the plasma reactor), and the power setting is started. 2)" (displays the power setting during the unpinning period) ^ Table does not release the clamp 2 (DeChUck 16 201108349 Table 1 Intermediate lift release gripping step Description Stability arcing ME DC1 DC2 Intermediate lift RF closing pressure (mtorr) 120 120 100 0 50 50 0 RF 2MHz Power (w) 0 50 100 1 0 0 0 RF 27MHz Power (w) 0 50 100 50 50 50 0 Step type Stabilization period period period Period processing period (sec) 20 5 25 4 16 10 2 C4F8 (50.0 seem) 13 13 13 0 0 0 0 C〇(510.0 seem) 65 65 65 0 0 0 0 〇2 (52.0 seem) 8 8 .8 0 0 0 0 Ar (1010.0 seem) 800 800 800 400 400 400 400 氦Internal area (torr) 30 30 30 30 0 0 0 氦External area (t〇r“) 30 30 30 30 0 0 0 ESC bias mode fixed fixed DCProbe DCProbe solid Fixed DCProbe ESC bias (soil Vdc) 200 200 0 0 -50 -50 0 ESC full time bias compensation enable enable disable enable enable enable enable lift pin position below lower lower bottom lower middle middle below, table 2 shows for 0T He cancels the clamping test without plasma to open the plasma chamber operating parameters of the intermediate lifting step. Table 2 0T He lifting clamping step Description Stability arcing ME DC1 DC2 surface intermediate lifting pressure (mtorr) 120 120 100 0 50 0 0 RF 2MHz Power (w) 0 50 100 1 0 0 0 RF 27MHz Power (w) 0 .50 100 50 50 0 0 Step Type Stabilization Period Period Period Period Processing Time (sec) 20 5 25 4 16 2 2 C4F8 ( 50.0 seem) 13 13 13 0 0 0 0 CO (510.0 seem) 65 65 65 0 0 0 0 〇 2 (52.0 seem) 8 8 8 0 0 0 0 Ar (1010.0 seem) 800 .800 800 400 400 0 400 氦 Internal Area (torr) 30 30 30 30 0 0 .0 氦External area (torr) 30 30 30 30 0 0 0 ESC bias mode fixed fixed DCProbe DCProbe DCProbe DCProbe DCProbe ESC bias (Vdc) 200 200 0 0 0 0 0 ESC full time bias compensation enable Disable Enable Enable Disable Enable below below below below below the middle position of the bottom of the lift pin 17201108349 hereinafter, Table 3 shows a 3T He plasma without unclamping the test plasma chamber operating parameters of the intermediate opening steps of the lift. Table 3 3T He Unclamping Step Description Stability Arcing ME DC1 DC2 Surface Intermediate Lifting Pressure (mtorr) 120 120 100 0 50 0 〇RF 2MHz Power (w) 0 50 100 1 0 0 〇RF 27MHz Power (w) 0 50 100 50 50 0 0 Step type setting period mm Period period processing time (sec) 20 5 25 4 15.5 2 2 C4F8 (50.0 seem) 13 13 13 0 0 0 0 CO (510.0 seem) 65 65 65 0 0 0 〇〇2 (52.0 seem) 8 8 8 0 0 0 π Ar (1010.0 seem) 800 800 800 400 400 0 400 氦Internal area (torr) 30 30 30 30 3 — 3 π Gas outer area (torr) 30 30 30 30 3 〇π ESC drive mode fixed fixed DCProbe DCProbe DCProbe DCProbe DCProbe ESC bias (soil Vdc) 200 200 0 0 0 0 0 ESC full time bias compensation enable enable disable enable enable disable so m Below the lower 1 ^) IJ below • S with the middle - between ^, ... 丨,. Myoelectric water shuts the 丌卬旳έ, the peak when the pin rises can be controlled by _ whole release _ miscellaneous and pure. Two dark colors and one thin line) show that the clamping voltage is _5〇 v π, which causes a large positive voltage spike when the clamping is released. As a result of ^n, it will cause a large negative voltage spike when the clamping is released, and the dash, point, and asterisk of the two operations of the complex J are displayed. The clip is displayed, (by the result of the short three operation, compared with For other examples, the voltage S is a voltage spike of -25 V. By appropriately selecting the application to Esc: 3, the wafer voltage spikes at the time of small rise are minimized. These = two holding voltages 'After the pinning step, the plasma is then turned off and off.', in the case of the plasma opening and releasing the folder, the figure® shows that during the lifting of the clamp ^, there is a bribe holding power 4 at t In the step of lifting and lowering, when the pin rises, the plasma starts, and the pin is y曰 circle m. In this case, the butterfly (four) m peak is more sensitive than the 201108349) and the clamping voltage is released (it may need different Solution to reduce the situation Figure 7 shows the measurement on the wafer;;: [large peaks should be useful. Unclamp: accompanying and not accompanied by 3T wrapped north; = wood, this wafer is accompanied by the following conditions Start the intermediate lifting step (divide "on, in addition to clamping the electricity to start" but not In addition to the clamping process, the plasma is used to open the middle lifting cow, and the person is in the process of releasing the He plasma to release the clamping, so that the two steps (column Q. compared with the 3 Tbrr holding period are accompanied by 〇He and two ^^· 1 Unclamping (the number of particles in the plasma is removed. The lifting from the middle of the electrical phase) can produce a lower level of distribution, and in a certain process, the wafer is caused by Xianli. The particle moves to the He back side of the force "to the ^ release $ and use during the lifting period 0 if the positive wafer electrical seam is reduced to the lowest two low. For example, the grain is, the shame _ (four) too can be shot side micro-understand m and the example are explained, but the system is made by us. Therefore, the present invention ==== is regarded as the functional limit of the means [simple description of the schema] = is a cross-sectional side view of the plasma reaction n according to the -, The figure is used to support the system on the ESC. The above figure is a diagram of the pin lifting system of Figure μ, where the substrate has been lifted to Ex. Figure A is the demonstration pneumatic in the lower position. Figure 3b is a diagram of the 19-th-those lifting hardware in the middle lifting position of 19 201108349. Fig. 3C is a schematic diagram of the control system in the upper position of the display. The method of the method is to perform the substrate voltage when the clamping is released. The substrate is clamped without s: 7 is not used. The method of removing or not including the back pressure of the crucible and the step of starting the intermediate lift plasma to remove the particle contamination degree of the substrate. The main component symbol description 10 plasma limit ring assembly 100 plasma processing chamber 102 upper electrode 104 Lower surface 106 step 108 gas channel 110 support member 112 gas channel 114 thermal control board 116 RJF power supply 120 substrate stage 122 semiconductor substrate 124 electrostatic chuck 126 RF power supply 126 edge ring 127 rape power supply 128 edge ring 204 electrostatic chuck 206 wafer 226 penetration 20 201108349 228 lift pin 230 lift 232 bellows 234 seal ring 240 insulation material 242 strain gauge 244 lead screw 246 motor 248 coder 250 digital signal processor 252 motor controller 301 upper piston 302 lower piston 303 cover 304 Upper chamber 305 lower chamber 306 first inlet 307 second inlet 308 third inlet 309 fourth inlet 310 Forced Stop 311 Upper Shaft 312 Lower Shaft 400 Pneumatic Actuator 401 Shuttle Valve 410 Pneumatic Library 420 Souther Gas Supply 430 Lower Pressure Gas Supply 440 Induction and Control Logic Controller

Claims (1)

201108349 七、申請專利範圍: 1·一種在電漿處理室中自靜電夾盤解除基板夹持的方法, 步驟 法,包含下列 將處理氣體供應到該電漿處理室内; 對該處理氣體供給能量而形成電漿態; 將該電漿室維持於-真空麗力以及低财 上方2mm以上厚度之處產生電漿鞘層; 下而在5亥基板 層内======到達該電_ 持在該中間升降位置; 並且將δ亥基板維 熄滅該電漿;及 '上部位置,於 將該基板抬升至該中間升降位置上方而 該上部位置處,該基板能夠從該電漿室移除。 項賴之錢师—自㈣夾盤解除 ⑻該處理氣體為氬、氮、或其混合物; (Ί基板被固持在該中間升降位置内持續2到%秒 :面咖斷至_她憤支 基板sum電漿處職巾自靜電夹盤解除 盤。“緣糾所製成,以及-賴被施加至該靜電炎 項所述之錢漿_巾_夾盤解除 點合至—破璃載體的―砍晶圓,該方法更包含電漿钱 22 201108349 =)該^板為-半導體晶圓,該方法更包含蝴該晶圓中的一石夕 半導細,該方法更包 +峨央盤解除 TT «Λ /XX ^ %水蝕刻該介電材料内的該開口時,將 側,該S法更包含在將該基 中si升降位置之則,終止該He氣體的供應。 ϋ申第1項所述之在輕處難巾自靜電夾盤解除 ί板自雜電夹盤釋放之前,終顿He氣體供應至該 第1顧奴錢«理室巾自㈣夾般解除 έ在该處理氣體處於電漿態時,將 該晶圓的下侧。 町虱月壓轭加至 所述之在電聚處理室中自靜電夾盤解除 :設概以將基板 至該靜電夾盤的該支撐表面上方至少5mm之處。基板被抬升 ι〇.如申請細謂1項嫩顺树巾_夹盤解除 23 201108349 基板夾持的方法,其中: 頭 ⑻該電漿處理室為―電容齡式電襞處理室, “ ;或 以及 電才=設置於-下部電極對面,該基板被支撐^該^噴淋 以及遠供給能量步驟包含將無雜頻裤供應至 ⑻該電漿處理室為包含—線_ —感^ ° = 該供給能量步驟包含將RP功率供應至該線圈)電水處理至 η.如申請專利範圍第1〇項所述之在電漿處理室巾自+ ^ 除基板夾持的方法,其中該腔室為電容夾盤= 该上部電極與該下部電極之間的間 二走以及 内的壓力為15到500 mToIT。 夕20麵^亥處理室 範圍第1項所述之在魏處理室中自靜電夹般解除 基板夾持的找,更包細__之前,轴鱗=除 申,專利範圍第12項所述之在電漿 ===找,其巾贿除鱗電驗奴浦^上^ 或200 V的電漿誘發偏壓内的一數值。 1 其Hi專利範圍第1項所述之在電漿處理室中自靜電夾盤解除 持的方法,更包含在抬升該基板之前處理該基板,盆^亥 :步驟包含⑷在該基板之上表面上形成—層或(b)自該基板剝離 而 其十二月專利範圍第1項所述之在電襞處理室中自靜電夾盤解除 二ιί的方法,其巾該抬輕針間升降位置以及該抬升至該 甘:ί降位置上方的兩步驟包含以—氣動式致動驗行抬升, /、中通過一梭閥的氣體流提供一低抬升力。 16.種電聚反應器用喊動式升降機構,其中升降銷在相對於一 24 201108349 之上表面的至少三個位置中升起與降下-基板 ,該升降 一外罩,具有一上部腔室以及一下部腔室; 動;一上部活塞,被絲射_式,以在該上部腔室内上下移 塞’被安裝成可滑動式,以在該下部腔室内上下移 塞的移動ίϊ室包含—_停止件,該制停止件界定該下部活 升降含:⑴―下部位置,於該位置,該上部活塞將 i電夾盤之該上表面下方;(2)-中間升降位置, 月匕夠從一電漿室移除;及 且^签奴 操作 其中該上部活塞以及該下部活録_立且氣動之方式加以 如申請專纖圍第16項所述之電漿反應器關氣動 包含一升降銷軛,可操作地連接至從該上部活塞向:延3 專利範圍第16項所述之電毁反應器用的氣動式升降機 .源 第 二氣體入π '及—第四氣體人口 ’該第_氣體人口與該 上=之該上部腔㈣—部分流體連通,該第二氣體人口_ = =下方之該上部腔㈣—部分流體連通,該第三氣體^血: 下。Ρ活塞上方之該下部腔㈣—部分流體連通以及該第 = 口與該下部活塞下方之該下部腔室的—部分流體連通;及”版 該上部活塞的一上升力被一相反氣動壓力所限制,該相反氣 25 201108349 動壓力係由供應至該第一入口的4陶 ^ , 八口的加壓氣體所提供。 19.如申請專利範圍第is項所 構,其中: 反絲__式升降機 該第一氣體入口與一第—每縣、 以25到65 Psig的動供應氣;連通^第-氣體源係 體入口與一第二氣體源流體連通,診1该^二、第三、以及第四氣 的壓力供應氣體,以及 ^弟一軋體源係以70到120psig 該升降機構更包含一控制器, 一與第二氣體源將氣體供應至該氣^制器用以選擇性地從該第 20.如申請專利範圍第19項所 門,用以使該第一氣體入口盥兮虱,式升降機構,更包含一閥 連通。 乐—氣體源或該第二氣體源流體 八、圖式: 26201108349 VII. Patent application scope: 1. A method for releasing substrate clamping from an electrostatic chuck in a plasma processing chamber, the method comprising the steps of supplying a processing gas into the plasma processing chamber; supplying energy to the processing gas Forming a plasma state; maintaining the plasma chamber at a vacuum force and a thickness of 2 mm or more above the low margin to produce a plasma sheath; and in the 5 HAI substrate layer ====== reaching the electricity _ holding In the intermediate lifting position; and extinguishing the plasma; and the 'upper position, the substrate can be removed from the plasma chamber when the substrate is raised above the intermediate lifting position.益赖之钱师—from (4) chuck release (8) the process gas is argon, nitrogen, or a mixture thereof; (the substrate is held in the intermediate lift position for 2 to % seconds: the coffee breaks to _ her anger board The sum plasma service towel is released from the electrostatic chuck. "The edge is made, and the lye is applied to the money slurry as described in the electrostatic inflammatory article _ towel _ the chuck is released to the point - the glass carrier" Chopping the wafer, the method further includes the plasma money 22 201108349 =) the board is - semiconductor wafer, the method further includes a stone in the wafer half-guide thin, the method is more package + 峨 central disk to release TT «Λ /XX ^ % water etches the opening in the dielectric material, the side, the S method is further included in the base si lifting position, the supply of He gas is terminated. It is said that in the light place, it is difficult to remove the self-electrostatic chuck. Before the release of the self-monitoring chuck, the gas supply to the first Gunu money is removed from the (four) clip. In the slurry state, the lower side of the wafer is added to the yoke, and the yoke is added to the self-electrolytic chuck in the electropolymerization chamber. : The method is to place the substrate at least 5 mm above the support surface of the electrostatic chuck. The substrate is lifted up. If the application is detailed, the method of sandwiching the substrate is as follows: : head (8) the plasma processing chamber is a "capacitive age type electrothermal processing chamber," or "electricity = set to - opposite the lower electrode, the substrate is supported ^ the spray and the far energy supply step contains no impurities The frequency pants are supplied to (8) the plasma processing chamber is included - the line - the sense = the supply energy step comprises supplying the RP power to the coil) and the water treatment to the η. As described in claim 1 In the plasma processing chamber, the method of clamping the substrate from + ^, wherein the chamber is a capacitor chuck = the distance between the upper electrode and the lower electrode is two and the internal pressure is 15 to 500 mToIT. In the case of the eve 20, the processing room of the first paragraph, in the Wei processing chamber, the clamping of the substrate is removed from the electrostatic chuck, and the packing is further __, before the shaft scale = except for the application, the patent scope is described in item 12 In the plasma === find, its towel bribe descaling electric test slave Pu ^ on ^ or 200 V plasma induced bias within a value. 1 The method of disarming from an electrostatic chuck in a plasma processing chamber according to item 1 of the Hi patent scope, further comprising processing the substrate before lifting the substrate, the step comprising: (4) on the surface of the substrate Forming a layer or (b) peeling from the substrate and releasing the two sheets from the electrostatic chuck in the electric discharge processing chamber as described in item 1 of the December patent scope, the towel lifting position between the needles And the two steps of raising up to the top of the position include: pneumatically actuating the lift, and the gas flow through the shuttle valve provides a low lift. 16. An electro-convergence reactor with a shim-type lifting mechanism, wherein the lift pin is raised and lowered in at least three positions relative to a surface above a 2011 20114949, the lift-up cover having an upper chamber and a lower a chamber; an upper piston, which is erected to move up and down in the upper chamber to be slidably mounted to move up and down in the lower chamber. And the stop member defines the lower movable lift to include: (1) a lower position at which the upper piston will be below the upper surface of the i-electric chuck; (2) - an intermediate lifting position, the moon is sufficient from an electric The slurry chamber is removed; and the upper piston and the lower portion are erected in a pneumatic manner, and the plasma reactor as described in claim 16 contains a lift pin yoke. Operatively connected to the pneumatic lifter from the upper piston to: the electric power reactor according to item 16 of the patent scope. The source second gas enters π 'and the fourth gas population' The upper = the upper ㈣- portion of the fluid communication chamber, the second gas population _ = = ㈣- the upper portion of the fluid chamber below the communication, the third gas ^ Blood: lower. The lower chamber (four) above the piston is partially in fluid communication and the third port is in fluid communication with the lower chamber of the lower piston; and a rising force of the upper piston is limited by an opposite pneumatic pressure , the opposite gas 25 201108349 dynamic pressure is provided by the pressurized gas supplied to the first inlet of the 4th, 8th. 19. As claimed in the scope of the patent application, wherein: the reverse wire __ lift The first gas inlet is in fluid communication with a first-ever county, with a flow rate of 25 to 65 Psig; the inlet of the first gas source system is in fluid communication with a second gas source, and the second, third, and The pressure supply gas of the fourth gas, and the source of the rolling body is 70 to 120 psig. The lifting mechanism further includes a controller, and a second gas source supplies the gas to the gas controller for selectively from the gas 20. The door of claim 19, wherein the first gas inlet port, the type lifting mechanism further comprises a valve communication. Le-gas source or the second gas source fluid eight, pattern : 26
TW099108693A 2009-03-24 2010-03-24 Method and apparatus for reduction of voltage potential spike during dechucking TWI502681B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/410,202 US8313612B2 (en) 2009-03-24 2009-03-24 Method and apparatus for reduction of voltage potential spike during dechucking

Publications (2)

Publication Number Publication Date
TW201108349A true TW201108349A (en) 2011-03-01
TWI502681B TWI502681B (en) 2015-10-01

Family

ID=42781608

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099108693A TWI502681B (en) 2009-03-24 2010-03-24 Method and apparatus for reduction of voltage potential spike during dechucking

Country Status (6)

Country Link
US (2) US8313612B2 (en)
JP (2) JP6049453B2 (en)
KR (1) KR101690808B1 (en)
CN (1) CN102362342B (en)
TW (1) TWI502681B (en)
WO (1) WO2010109373A2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9741546B2 (en) 2011-10-05 2017-08-22 Applied Materials, Inc. Symmetric plasma process chamber
TWI622120B (en) * 2012-05-31 2018-04-21 蘭姆研究公司 Substrate support providing gap height and planarization adjustment in plasma processing chamber
TWI768546B (en) * 2019-12-24 2022-06-21 大陸商中微半導體設備(上海)股份有限公司 A plasma treatment device
TWI799895B (en) * 2020-08-20 2023-04-21 大陸商中微半導體設備(上海)股份有限公司 Plasma treatment device
TWI809040B (en) * 2018-02-13 2023-07-21 荷蘭商Asml荷蘭公司 Apparatus for and method of in-situ particle removal in a lithography apparatus
TWI830410B (en) * 2021-09-29 2024-01-21 大陸商北京北方華創微電子裝備有限公司 Semiconductor process equipment and wafer condition monitoring method

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8992722B2 (en) * 2009-09-01 2015-03-31 Lam Research Corporation Direct drive arrangement to control confinement rings positioning and methods thereof
US8520360B2 (en) 2011-07-19 2013-08-27 Lam Research Corporation Electrostatic chuck with wafer backside plasma assisted dechuck
US10069443B2 (en) * 2011-12-20 2018-09-04 Tokyo Electron Limited Dechuck control method and plasma processing apparatus
US9030797B2 (en) 2012-06-01 2015-05-12 Infineon Technologies Ag Thin substrate electrostatic chuck system and method
US9859145B2 (en) 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
CN104032284B (en) * 2014-06-30 2017-01-04 上海先进半导体制造股份有限公司 The method of the duty of the change-over valve of monitoring deposition apparatus in real time
WO2016041731A1 (en) * 2014-09-15 2016-03-24 Asml Netherlands B.V. Object table, lithographic apparatus and device manufacturing method
JP6492736B2 (en) * 2015-02-17 2019-04-03 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
US10541117B2 (en) * 2015-10-29 2020-01-21 Lam Research Corporation Systems and methods for tilting a wafer for achieving deposition uniformity
CN106935540B (en) * 2015-12-29 2019-08-06 中微半导体设备(上海)股份有限公司 Chip jacking apparatus and its jacking method
US11387135B2 (en) 2016-01-28 2022-07-12 Applied Materials, Inc. Conductive wafer lift pin o-ring gripper with resistor
JP6832171B2 (en) * 2017-01-24 2021-02-24 東京エレクトロン株式会社 Plasma processing method including cleaning of the inside of the chamber body of the plasma processing device
EP3361316A1 (en) * 2017-02-14 2018-08-15 VAT Holding AG Pneumatic pin lifting device and pneumatic lifting cylinder
KR102322767B1 (en) * 2017-03-10 2021-11-08 삼성디스플레이 주식회사 Substrate treating apparatus providing improved detaching mechanism between the substrate and stage and the substrate treating method using the same
JP6409898B2 (en) * 2017-04-04 2018-10-24 シンフォニアテクノロジー株式会社 Purge nozzle unit, load port, stocker
US10460916B2 (en) * 2017-05-15 2019-10-29 Applied Materials, Inc. Real time monitoring with closed loop chucking force control
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
CN108251821B (en) * 2018-04-13 2020-04-10 昆山国显光电有限公司 Base applied to PECVD film formation
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR102554014B1 (en) * 2018-06-15 2023-07-11 삼성전자주식회사 Method of etching in low temperature and plasma etching apparatus
US10770257B2 (en) * 2018-07-20 2020-09-08 Asm Ip Holding B.V. Substrate processing method
SG11202009058SA (en) * 2018-07-30 2020-10-29 Ulvac Techno Ltd Substrate lifting apparatus and substrate transferring method
CN109192696B (en) * 2018-08-10 2021-06-08 北京北方华创微电子装备有限公司 Lift needle system, vacuum reaction chamber and semiconductor processing equipment
DE102018006903A1 (en) * 2018-08-30 2020-03-05 Vat Holding Ag Galvanically isolated pin lifting device
US11430688B2 (en) * 2018-09-04 2022-08-30 Lam Research Corporation Two-stage pin lifter for de-chuck operations
DE102018007307A1 (en) * 2018-09-17 2020-03-19 Vat Holding Ag Pen lifter
US10546731B1 (en) 2018-10-05 2020-01-28 Applied Materials, Inc. Method, apparatus and system for wafer dechucking using dynamic voltage sweeping
DE102018009871A1 (en) * 2018-12-19 2020-06-25 Vat Holding Ag Pen lifter with condition monitoring
JP7451540B2 (en) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド Feedback loop for controlling pulsed voltage waveforms
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR102640172B1 (en) 2019-07-03 2024-02-23 삼성전자주식회사 Processing apparatus for a substrate and method of driving the same
DE102019008104A1 (en) * 2019-11-21 2021-05-27 Vat Holding Ag Method for monitoring, determining and positioning a pin lifting system
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
JP7489865B2 (en) * 2020-08-24 2024-05-24 東京エレクトロン株式会社 SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS
US20220106683A1 (en) * 2020-10-01 2022-04-07 Applied Materials, Inc. Apparatus and methods to transfer substrates into and out of a spatial multi-substrate processing tool
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
USD980884S1 (en) 2021-03-02 2023-03-14 Applied Materials, Inc. Lift pin
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US20230234188A1 (en) * 2022-01-27 2023-07-27 Tokyo Electron Limited Wafer chuck with tunable stiffness material
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT572665A (en) * 1957-07-26
US5325261A (en) * 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
US5684669A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
US5665167A (en) 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
JPH06252253A (en) * 1993-02-26 1994-09-09 Sumitomo Metal Ind Ltd Electrostatic chuck
US5380566A (en) 1993-06-21 1995-01-10 Applied Materials, Inc. Method of limiting sticking of body to susceptor in a deposition treatment
JP2601180Y2 (en) * 1993-12-06 1999-11-08 日新電機株式会社 Substrate holding device
TW288253B (en) 1994-02-03 1996-10-11 Aneruba Kk
US5459632A (en) 1994-03-07 1995-10-17 Applied Materials, Inc. Releasing a workpiece from an electrostatic chuck
TW293231B (en) 1994-04-27 1996-12-11 Aneruba Kk
US6140612A (en) 1995-06-07 2000-10-31 Lam Research Corporation Controlling the temperature of a wafer by varying the pressure of gas between the underside of the wafer and the chuck
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
TW286414B (en) 1995-07-10 1996-09-21 Watkins Johnson Co Electrostatic chuck assembly
JP3005461B2 (en) 1995-11-24 2000-01-31 日本電気株式会社 Electrostatic chuck
US5900062A (en) 1995-12-28 1999-05-04 Applied Materials, Inc. Lift pin for dechucking substrates
US6465043B1 (en) 1996-02-09 2002-10-15 Applied Materials, Inc. Method and apparatus for reducing particle contamination in a substrate processing chamber
US5796066A (en) 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5793192A (en) 1996-06-28 1998-08-11 Lam Research Corporation Methods and apparatuses for clamping and declamping a semiconductor wafer in a wafer processing system
US5818682A (en) 1996-08-13 1998-10-06 Applied Materials, Inc. Method and apparatus for optimizing a dechucking period used to dechuck a workpiece from an electrostatic chuck
TW334609B (en) 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6083569A (en) 1996-10-25 2000-07-04 Applied Materials, Inc. Discharging a wafer after a plasma process for dielectric deposition
JP3245369B2 (en) * 1996-11-20 2002-01-15 東京エレクトロン株式会社 Method for separating workpiece from electrostatic chuck and plasma processing apparatus
US5848670A (en) 1996-12-04 1998-12-15 Applied Materials, Inc. Lift pin guidance apparatus
US6190113B1 (en) * 1997-04-30 2001-02-20 Applied Materials, Inc. Quartz pin lift for single wafer chemical vapor deposition/etch process chamber
JP3725967B2 (en) * 1997-06-20 2005-12-14 株式会社日立製作所 Method for removing electrostatically adsorbed sample
US5854138A (en) 1997-07-29 1998-12-29 Cypress Semiconductor Corp. Reduced-particle method of processing a semiconductor and/or integrated circuit
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6104595A (en) 1998-04-06 2000-08-15 Applied Materials, Inc. Method and apparatus for discharging an electrostatic chuck
KR100510920B1 (en) 1998-04-17 2005-11-11 삼성전자주식회사 Electrostatic Damping Device and Static Damping Method of Plasma Etching Equipment
GB9812850D0 (en) 1998-06-16 1998-08-12 Surface Tech Sys Ltd A method and apparatus for dechucking
US6965506B2 (en) 1998-09-30 2005-11-15 Lam Research Corporation System and method for dechucking a workpiece from an electrostatic chuck
US6790375B1 (en) 1998-09-30 2004-09-14 Lam Research Corporation Dechucking method and apparatus for workpieces in vacuum processors
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
JP3770740B2 (en) 1998-11-19 2006-04-26 松下電器産業株式会社 Substrate peeling device
US6185085B1 (en) 1998-12-02 2001-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. System for transporting and electrostatically chucking a semiconductor wafer or the like
JP3448501B2 (en) * 1999-02-19 2003-09-22 東京エレクトロン株式会社 Substrate temperature controller
US6302249B1 (en) * 1999-03-08 2001-10-16 Lord Corporation Linear-acting controllable pneumatic actuator and motion control apparatus including a field responsive medium and control method therefor
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6307728B1 (en) * 2000-01-21 2001-10-23 Applied Materials, Inc. Method and apparatus for dechucking a workpiece from an electrostatic chuck
US6958098B2 (en) 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
US20070048882A1 (en) 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
EP1174910A3 (en) 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US6872281B1 (en) 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP2002134489A (en) * 2000-10-25 2002-05-10 Tokyo Electron Ltd Method for destaticizing substrate, vapor depositing device and method for manufacturing semiconductor device
KR100378187B1 (en) 2000-11-09 2003-03-29 삼성전자주식회사 A wafer stage including electro-static chuck and method for dechucking wafer using the same
JP2007184611A (en) * 2001-01-22 2007-07-19 Tokyo Electron Ltd Plasma processing device and plasma processing method
US6646857B2 (en) 2001-03-30 2003-11-11 Lam Research Corporation Semiconductor wafer lifting device and methods for implementing the same
US6481723B1 (en) 2001-03-30 2002-11-19 Lam Research Corporation Lift pin impact management
JP2002313902A (en) * 2001-04-18 2002-10-25 Canon Inc Electrostatic chuck and method of dismounting substrate therefrom
JP2002367967A (en) * 2001-06-07 2002-12-20 Matsushita Electric Ind Co Ltd Method and apparatus for treating plasma
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
DE10134513A1 (en) * 2001-07-16 2003-01-30 Unaxis Balzers Ag Lifting and support device
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6898064B1 (en) 2001-08-29 2005-05-24 Lsi Logic Corporation System and method for optimizing the electrostatic removal of a workpiece from a chuck
JP3920699B2 (en) 2001-09-19 2007-05-30 東京エレクトロン株式会社 Vacuum drying apparatus and coating film forming method
US6808566B2 (en) * 2001-09-19 2004-10-26 Tokyo Electron Limited Reduced-pressure drying unit and coating film forming method
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6936135B2 (en) 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
US6926803B2 (en) 2002-04-17 2005-08-09 Lam Research Corporation Confinement ring support assembly
US20030236004A1 (en) 2002-06-24 2003-12-25 Applied Materials, Inc. Dechucking with N2/O2 plasma
DE10232478A1 (en) 2002-07-17 2004-02-12 Infineon Technologies Ag Wafer lifting device for semiconductor electronics and chip production and testing has guides for lifting pins which are attached to the wafer holder
KR20040009691A (en) * 2002-07-24 2004-01-31 주식회사 래디언테크 Lifting machine and method of wafer
US7223321B1 (en) 2002-08-30 2007-05-29 Lam Research Corporation Faraday shield disposed within an inductively coupled plasma etching apparatus
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP2005129837A (en) * 2003-10-27 2005-05-19 Seiko Epson Corp Equipment and method for substrate treatment
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4308034B2 (en) * 2004-02-02 2009-08-05 パナソニック株式会社 Dry etching equipment
US7375946B2 (en) 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US7428550B2 (en) 2004-08-27 2008-09-23 Hewlett-Packard Development Company, L.P. Systems and methods for estimating the number of unique attributes in a database
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7292428B2 (en) 2005-04-26 2007-11-06 Applied Materials, Inc. Electrostatic chuck with smart lift-pin mechanism for a plasma reactor
JP2007129119A (en) * 2005-11-07 2007-05-24 Seiko Epson Corp Method for forming silicon oxide film by plasma cvd method
KR100688988B1 (en) 2006-01-16 2007-03-08 삼성전자주식회사 Etching apparatus
JP2007258636A (en) * 2006-03-27 2007-10-04 Matsushita Electric Ind Co Ltd Dry-etching method and its device
JP2007335657A (en) * 2006-06-15 2007-12-27 Hitachi High-Technologies Corp Plasma processing apparatus
KR100845896B1 (en) 2006-06-29 2008-07-16 주식회사 뉴파워 프라즈마 Plasma processing system and method for preventing arcking in between electrostatic chuck and substrate
US20080035306A1 (en) * 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
TW201005825A (en) * 2008-05-30 2010-02-01 Panasonic Corp Plasma processing apparatus and method
JP5565892B2 (en) * 2008-06-13 2014-08-06 芝浦メカトロニクス株式会社 Plasma processing apparatus, plasma processing method, and electronic device manufacturing method
US8363378B2 (en) * 2009-02-17 2013-01-29 Intevac, Inc. Method for optimized removal of wafer from electrostatic chuck
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10546728B2 (en) 2011-10-05 2020-01-28 Applied Materials, Inc. Symmetric plasma process chamber
US9741546B2 (en) 2011-10-05 2017-08-22 Applied Materials, Inc. Symmetric plasma process chamber
TWI666975B (en) * 2011-10-05 2019-07-21 美商應用材料股份有限公司 Symmetric plasma process chamber
TWI672981B (en) * 2011-10-05 2019-09-21 美商應用材料股份有限公司 Symmetric plasma process chamber
US10453656B2 (en) 2011-10-05 2019-10-22 Applied Materials, Inc. Symmetric plasma process chamber
US10535502B2 (en) 2011-10-05 2020-01-14 Applied Materials, Inc. Symmetric plasma process chamber
US10615006B2 (en) 2011-10-05 2020-04-07 Applied Materials, Inc. Symmetric plasma process chamber
US10580620B2 (en) 2011-10-05 2020-03-03 Applied Materials, Inc. Symmetric plasma process chamber
US11315760B2 (en) 2011-10-05 2022-04-26 Applied Materials, Inc. Symmetric plasma process chamber
TWI622120B (en) * 2012-05-31 2018-04-21 蘭姆研究公司 Substrate support providing gap height and planarization adjustment in plasma processing chamber
TWI809040B (en) * 2018-02-13 2023-07-21 荷蘭商Asml荷蘭公司 Apparatus for and method of in-situ particle removal in a lithography apparatus
TWI768546B (en) * 2019-12-24 2022-06-21 大陸商中微半導體設備(上海)股份有限公司 A plasma treatment device
TWI799895B (en) * 2020-08-20 2023-04-21 大陸商中微半導體設備(上海)股份有限公司 Plasma treatment device
TWI830410B (en) * 2021-09-29 2024-01-21 大陸商北京北方華創微電子裝備有限公司 Semiconductor process equipment and wafer condition monitoring method

Also Published As

Publication number Publication date
JP2012521652A (en) 2012-09-13
US8628675B2 (en) 2014-01-14
US20100248490A1 (en) 2010-09-30
US20130059447A1 (en) 2013-03-07
WO2010109373A2 (en) 2010-09-30
JP6149071B2 (en) 2017-06-14
JP2015216391A (en) 2015-12-03
CN102362342B (en) 2014-01-15
US8313612B2 (en) 2012-11-20
JP6049453B2 (en) 2016-12-21
CN102362342A (en) 2012-02-22
TWI502681B (en) 2015-10-01
WO2010109373A3 (en) 2010-12-29
KR101690808B1 (en) 2017-01-09
KR20110128895A (en) 2011-11-30

Similar Documents

Publication Publication Date Title
TW201108349A (en) Method and apparatus for reduction of voltage potential spike during dechucking
KR101876501B1 (en) In-situ removable electrostatic chuck
KR102033807B1 (en) Separation control method, and control device for plasma processing device
TWI598985B (en) Polar regions for electrostatic de-chucking with lift pins
JP6132497B2 (en) Detachment control method and plasma processing apparatus
JP2010212678A (en) Method for optimized removal of wafer from electrostatic chuck
JP2012521652A5 (en) Method for dechucking substrate and gas pressure lift mechanism
JP4847909B2 (en) Plasma processing method and apparatus
JP2016225439A (en) Plasma processing device and substrate peeling detection method
KR102526304B1 (en) Separation control method and plasma processing apparatus
KR102001018B1 (en) Method for detaching sample and plasma processing device
KR20150055549A (en) Substrate processing method and substrate processing device
JP2015095580A (en) Substrate processing device and method for separating substrate
JP2008028021A (en) Plasma etching device and plasma etching method
JP5302541B2 (en) Plasma processing equipment
JP2011040658A (en) Workpiece holding apparatus, method of controlling electrostatic chuck, and method of manufacturing semiconductor device
JP2004040046A (en) Treatment apparatus and method for releasing electrostatic chuck
JPH06169008A (en) Electrostatic chucking device and method
KR100688988B1 (en) Etching apparatus
WO2013027585A1 (en) Plasma processing method
JP2006100629A (en) Apparatus and method of plasma processing