KR101445416B1 - 구성가능한 베벨 에처 - Google Patents

구성가능한 베벨 에처 Download PDF

Info

Publication number
KR101445416B1
KR101445416B1 KR1020097016913A KR20097016913A KR101445416B1 KR 101445416 B1 KR101445416 B1 KR 101445416B1 KR 1020097016913 A KR1020097016913 A KR 1020097016913A KR 20097016913 A KR20097016913 A KR 20097016913A KR 101445416 B1 KR101445416 B1 KR 101445416B1
Authority
KR
South Korea
Prior art keywords
ring
pez
electrode
dielectric
plasma
Prior art date
Application number
KR1020097016913A
Other languages
English (en)
Other versions
KR20090110852A (ko
Inventor
앤드류 디 3세 베일리
앨런 엠 쇠프
그레고리 섹스턴
윤상 김
윌리엄 에스 케네디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090110852A publication Critical patent/KR20090110852A/ko
Application granted granted Critical
Publication of KR101445416B1 publication Critical patent/KR101445416B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Abstract

본 발명은 반도체 기판의 베벨 에지를 세정하기 위한 디바이스에 관한 것이다. 이 디바이스는 원통형 최상부 부분을 갖는 하부 지지체; 최상부 부분의 외부 에지를 둘러싸고 기판을 지지하도록 구성된 하부 플라즈마-배제-구역 (PEZ) 링; 하부 지지체에 대향하고 원통형 저부 부분을 갖는 상부 유전체 컴포넌트; 저부 부분의 외부 에지를 둘러싸고 하부 PEZ 링에 대향하는 상부 PEZ 링; 및 상부 PEZ 링 및 하부 PEZ 링에 의해 정의된 환상 공간에서 프로세스 가스를 플라즈마로 에너자이징하도록 동작하는 적어도 하나의 무선 주파수 (RF) 전원을 포함하며, 여기서 환상 공간은 베벨 에지를 에워싼다.
Figure R1020097016913
베벨 에처, 하부 지지체, PEZ 링, 프로세스 가스, 유전체 컴포넌트

Description

구성가능한 베벨 에처{CONFIGURABLE BEVEL ETCHER}
배경
집적 회로들은 상부에 패터닝된 마이크로전자 층들이 형성되는 웨이퍼 또는 기판으로부터 형성된다. 기판의 프로세싱에 있어서, 기판 상에 증착된 막들의 의도된 부분들을 에칭하기 위해 플라즈마가 종종 사용된다. 통상적으로, 에칭 플라즈마 밀도는 기판의 에지 근처에서 더 낮은데, 이는 기판 베벨 에지의 최상면 (top surface) 과 저면 (bottom surface) 상에 폴리-실리콘층, 질화물층, 금속층 등 (일괄하여 부산물층으로 지칭) 의 축적을 초래할 수도 있다. 여러 상이한 에칭 프로세스들의 결과로서 연속하는 부산물층들이 기판 베벨 에지의 최상면과 저면 상에 증착되기 때문에, 부산물층들과 기판 사이의 접착은 결국 약해질 것이며, 부산물층들은 기판 이송 동안 종종 다른 기판들 상으로 벗겨지거나 벗겨져 떨어져 다른 기판들을 오염시킬 수도 있다.
개요
바람직한 실시형태에 따르면, 반도체 기판의 베벨 에지가 플라즈마 상태로 에너자이징된 프로세스 가스를 이용하여 플라즈마 세정되는 베벨 에처 (bevel etcher) 가 제공된다. 베벨 에처는 원통형 최상부 부분을 갖는 하부 지지체, 하부 지지체의 원통형 최상부 부분 상에 지지되며, 기판의 베벨 에지가 상부면의 외부 에지의 바깥쪽으로 연장하도록 기판이 지지되는 상부면을 갖는 하부 플라즈마 -배제-구역 (PEZ) 링, 하부 지지체 위에 배치되고 하부 지지체의 원통형 최상부 부분에 대향하는 원통형 저부 부분을 갖는 상부 유전체 컴포넌트, 상부 유전체 컴포넌트의 원통형 저부 부분을 둘러싸고 하부 PEZ 링에 대향하는 상부 PEZ 링으로서, 하부 PEZ 링과 상부 PEZ 링 사이의 환상 공간 (annular space) 이 플라즈마에 의해 세정될 베벨 에지의 범위를 제한하는, 상기 상부 PEZ 링, 및 세정 동작 동안 프로세스 가스를 플라즈마로 에너자이징하도록 구성된 적어도 하나의 무선 주파수 (RF) 전원을 포함하며, 여기서 하부 PEZ 링 및 상부 PEZ 링은 세정 동작 동안 플라즈마로부터 하부 지지체 및 상부 유전체 컴포넌트를 각각 차폐하도록 구성된다.
다른 실시형태에 따르면, 베벨 에처의 구성가능한 부품이 제공된다. 구성가능한 부품은 반도체 기판의 베벨 에지가 플라즈마 세정되는 베벨 에처의 소모가능하고/하거나 교체가능한 부품이며, 베벨 에처는 베벨 세정 동작 동안 웨이퍼가 지지되는 하부 전극 어셈블리, 및 하부 지지체에 면하는 유전체판을 포함하고 유전체판을 기판의 상부면으로부터 작은 거리만큼 떨어져 포지셔닝하기 위해 수직으로 이동가능한 상부 지지체에 부착된 상부 전극 어셈블리를 포함하며, 상부 전극 어셈블리는 베벨 세정 동작 동안 베벨 에지 근방으로 가스가 흐르게 될 수 있는 적어도 하나의 가스 통로를 포함하고, 유전체판은 베벨 세정 동작 동안 기판의 표면 위로 가스가 흐르게 될 수 있는 적어도 하나의 가스 통로를 갖는다. 구성가능한 부품은 (1) 세정 동작 동안 플라즈마로부터 하부 지지체를 차폐하도록 구성된 도전성, 반도전성 또는 유전성 재료의 하부 플라즈마-배제-구역 (PEZ) 링, (2) 세정 동작 동안 플라즈마로부터 상부 유전체판을 차폐하도록 구성된 도전성, 반도전성 또 는 유전성 재료의 상부 PEZ 링, (3) 상부 PEZ 링을 둘러싸는 상부 링 전극, (4) 하부 PEZ 링을 둘러싸는 하부 링 전극, (5) 상부 링 전극을 둘러싸는 상부 유전체 링, 및/또는 (6) 하부 링 전극을 둘러싸는 하부 유전체 링 중 적어도 하나를 포함한다.
도면의 간단한 설명
도 1 은 베벨 에칭 챔버의 개략 단면도를 도시한다.
도 2 는 일 실시형태에 따라 베벨 에처의 개략 단면도를 도시한다.
도 3 은 도 2 의 영역 A 의 확대 개략도를 도시한다.
도 4a 및 도 4b 는 도 2 의 구성가능 플라즈마-배제-구역 (PEZ) 링들의 개략 단면도들을 도시한다.
도 5a 는 다른 실시형태에 따라 베벨 에처의 개략 단면도를 도시한다.
도 5b 는 도 5a 의 영역 B 의 확대 개략도를 도시한다.
도 6 은 또 다른 실시형태에 따라 베벨 에처의 개략 단면도를 도시한다.
도 7 은 추가 실시형태에 따라 베벨 에처의 개략 단면도를 도시한다.
도 8 은 또 다른 추가 실시형태에 따라 베벨 에처의 개략 단면도를 도시한다.
도 9 는 또 다른 추가 실시형태에 따라 베벨 에처의 개략 단면도를 도시한다.
도 10 은 또 다른 추가 실시형태에 따라 베벨 에처의 개략 단면도를 도시한다.
상세한 설명
도 1 은 기판 (110) 의 베벨 에지를 에칭하기 위한 베벨 에칭 챔버 (100) 의 개략 단면도를 도시한다. 도시한 것처럼, 베벨 에칭 챔버 (100) 는 RF 전원에 커플링된 캐소드 (102); 기판 (110) 을 지지하기 위한 스테이지 (116); 스테이지 (116) 를 둘러싸는 절연 재료 (114); 최상부 링-형상 전극 (104) 과 저부 링-형상 전극 (106); 및 최상부 절연체 (108) 를 포함한다. 반응 가스는 하나 이상의 가스 유출구들 (120) 을 통하여 분사되고 플라즈마로 에너자이징되어 기판 (110) 의 베벨 에지 상에 형성된 부산물층들 (112) 을 세정한다. 베벨 에칭 챔버 (100) 는 세정될 영역을 제어하는데 여러 어려움들을 가질 수도 있다. 예를 들어, 저부 에지 배제부 (122) 의 사이즈를 변경하기 위해, 절연 재료 (114) 의 두께를 변경할 필요가 있을 수도 있으며, 그 결과 저부 링-형상 전극 (106) 의 형상 및/또는 위치가 변경될 필요가 있을 수도 있다. 일부 경우들에서는, 스테이지 (116) 전체의 직경이 변경될 필요가 있을 수도 있는데, 이는 소모성 비용 (Cost-of-Consumables; CoC) 의 증가를 초래할 수도 있다. 다른 결점은 베벨 에칭 챔버 (100) 가 상부 에지 배제부 (124) 의 범위를 정확하게 제어하는 메커니즘을 갖지 않는다는 것이다. 상부 에지 배제부 (124) 의 범위를 변경하기 위해, 최상부 절연체 (108) 의 외부 직경뿐 아니라 가스 유출구(들) (120) 및 최상부 링-형상 전극 (104) 의 위치들을 변경할 필요가 있을 수도 있다. 그것으로서, 이러한 에칭 챔버들에서 에지 배제부들의 범위를 정확하게 제어하는데 비용이 많이 들 수도 있다.
이제 도 2 를 참조하면, 일 실시형태에 따라 기판 (218) 의 베벨 에지를 세정하기 위한 기판 에칭 시스템 또는 베벨 에처 (200) 의 개략 단면도가 도시되어 있다. 베벨 에처 (200) 는 일반적으로 선대칭 형상을 갖지만 이것으로 제한되지 않으며, 간결함을 위해, 도 2 에는 측단면도의 절반만이 도시된다. 도시한 것처럼, 베벨 에처 (200) 는 기판 (218) 이 로딩/언로딩되는 도어 또는 게이트 (242) 를 갖는 챔버 벽 (202); 상부 전극 어셈블리 (204); 상부 전극 어셈블리 (204) 가 매달리게 되는 지지체 (208); 및 하부 전극 어셈블리 (206) 를 포함한다. 지지체 (208) 는 기판 (218) 을 로딩/언로딩하기 위해 상부 전극 어셈블리 (204) 를 상하로 (이중 화살표 방향으로) 이동시킨다. 상부 전극 어셈블리 (204) 와 기판 (218) 사이의 갭이 정확하게 제어되도록 지지체 (208) 에는 정밀 구동 메커니즘 (도 2 에는 미도시) 이 부착된다.
지지체 (208) 가 챔버 벽 (202) 에 대하여 수직 운동하는 것을 허용하는 동시에 챔버 벽 (202) 과 지지체 (208) 사이에 진공 밀봉 (vacuum seal) 을 형성하기 위해 금속 벨로우즈 (250) 가 이용된다. 지지체 (208) 는 중앙 가스 공급부 (통로) (212) 및 에지 가스 공급부 (통로) (220) 를 갖는다. 가스 공급부들 (212, 220) 은 베벨 에지를 세정하기 위해 플라즈마로 에너자이징될 프로세스 가스를 제공한다. 동작 중에, 플라즈마가 기판 (218) 의 베벨 에지 주위에 형성되고 일반적으로 링 형상을 갖는다. 플라즈마가 기판 (218) 의 중심부에 도달하는 것을 방지하기 위해, 상부 전극 상의 절연체판 (226) 과 기판 사이의 공간은 작고 프로세스 가스는 중앙 공급부로부터 바람직하게는 단차식 홀 (stepped hole; 214) 을 통하여 공급된다. 그 후, 가스는 상부 전극 어셈블리 (204) 와 기판 (218) 사이의 갭을 기판의 반지름 방향으로 통과한다. 각각의 가스 공급부는 동일한 프로세스 가스 또는 다른 가스들, 이를 테면, 버퍼 가스 및/또는 퍼지 가스를 제공하는데 이용된다. 예를 들어, 버퍼 가스는 중앙 가스 공급부 (212) 를 통하여 주입될 수 있는 한편, 프로세스 가스는 에지 가스 공급부 (220) 를 통하여 주입될 수 있다. 플라즈마/프로세스 가스는 복수의 홀들 (유출구들) (241) 을 통하여 챔버 공간 (251) 으로부터 저부 공간 (240) 으로 인출된다. 베벨 세정 동작 동안, 챔버 압력은 통상 500mTorr 내지 2Torr 범위에 있으며, 예를 들어, 진공 펌프 (243) 가 세정 동작 동안 저부 공간 (240) 을 배기시키기 위해 이용될 수 있다.
상부 전극 어셈블리 (204) 는 상부 유전체판 또는 상부 유전체 컴포넌트 (216); 및 적절한 패스닝 메커니즘에 의해 지지체 (208) 에 고정되고 지지체 (208) 를 통해 접지된 상부 금속 컴포넌트 (210) 를 포함한다. 상부 금속 컴포넌트 (210) 는 알루미늄과 같은 금속으로 형성되며, 양극처리될 수도 있다. 상부 금속 컴포넌트 (210) 는 하나 이상의 에지 가스 통로들 또는 관통 홀들 (222a, 222b) 및 에지 가스 플레넘 (224) 을 가지며, 여기서 에지 가스 통로들 (222) 은 동작 중에 유체 소통을 위해 에지 가스 공급부 (220) 에 커플링된다. 상부 유전체판 (216) 은 상부 금속 컴포넌트 (210) 에 부착되고 유전성 재료, 바람직하게는 세라믹 (그러나 이것으로 제한되지는 않는다) 으로 형성된다. 원한다면, 상부 유전 체판 (216) 은 Y2O3 의 코팅을 가질 수도 있다. 통상적으로, Al2O3 과 같은 일부 세라믹들 내에 깊은 스트레이트 홀을 천공하기가 어렵고, 따라서, 깊은 스트레이트 홀 대신에 단차식 홀 (214) 이 이용될 수 있다. 상부 유전체판 (216) 이 단일의 중앙 홀과 함께 도시되지만, 상부 유전체판 (216) 은 임의의 적절한 개수의 유출구들을 가질 수도 있으며, 예를 들어, 그 유출구들은 원한다면 샤워헤드 홀 패턴으로 배열될 수 있다.
하부 전극 어셈블리 (206) 는 상부 부분 (226a) 및 하부 부분 (226b) 을 갖고 동작 중에 기판 (218) 을 적소에 보유하기 위한 진공 척으로서 기능하도록 동작하는 전원공급된 전극 (226); 기판 (218) 을 상하로 이동시키기 위한 리프트 핀들 (230); 핀 작동 유닛 (232); 및 상부 부분 (238a) 및 하부 부분 (238b) 을 갖는 저부 유전체 링 (238) 을 포함한다. 이하에, 전원공급된 전극이란 용어는 상부 부분 (226a) 및 하부 부분 (226b) 중 하나 또는 양자를 지칭한다. 마찬가지로, 저부 유전체 링 (238) 이란 용어는 상부 부분 (238a) 및 하부 부분 (238b) 중 하나 또는 양자를 지칭한다. 전원공급된 전극 (226) 은 동작 중에 무선 주파수 (RF) 전력을 수신하기 위해 RF 전원 (270) 에 커플링된다.
리프트 핀들 (230) 은 원통형 홀들 또는 경로들 (231) 내에서 수직으로 이동하며 전원공급된 전극 (226) 내에 포지셔닝된 핀 작동 유닛 (232) 에 의해 상부 포지션과 하부 포지션 사이에서 이동된다. 핀 작동 유닛은 핀들 주위에 진공 밀봉된 환경을 유지하기 위해 각각의 리프트 핀 주위에 하우징을 포함한다. 핀 작동 유닛 (232) 은 로봇 암 (233) (예를 들어 각각의 하우징 내로 연장하는 세그먼트들을 갖고 각각의 핀에 부착된 수평 암) 및 암 액츄에이팅 디바이스 (도 2 에는 미도시) 와 같은 임의의 적절한 리프트 핀 메커니즘을 포함한다. 간결함을 위해, 도 2 에는 로봇 암의 일 세그먼트의 팁 부분만이 도시된다. 30mm 웨이퍼와 같은 웨이퍼를 들어올리기 위해 3 개 또는 4 개의 리프트 핀들이 이용될 수 있지만, 임의의 적절한 개수의 핀들 (230) 이 베벨 에처 (200) 에서 이용될 수도 있다. 또한, 리프터 벨로우즈와 같은 임의의 적절한 메커니즘들이 핀 작동 유닛 (232) 으로서 이용될 수 있다.
바람직한 실시형태에 의하면, 핀 리프터는 리프트 핀들 (230) 을 다양한 포지션들로 이동시킬 수 있는 멀티-포지션 핀 리프터이다. 예를 들어, 리프트 핀들 (230) 은 리프트 핀 작동 유닛 (232) 을 통해 4 가지 포지션들로 수직으로 이동될 수 있으며, 4 가지 포지션들은 다음과 같다 : (1) 리프트 핀들 (230) 이 그 상단부들을 저부 전극 (226a) 의 최상면 아래에 위치시키기 위해 이동되는 제 1 포지션, (2) 리프트 핀들 (230) 이 그 상단부들을 링 (260) 상에 지지된 웨이퍼와 동일한 평면으로 그 저면에 설치된 픽스쳐 (fixture) 의 하부면과 접촉하게 위치시키기 위해 이동되는 제 2 포지션으로서, 이 포지션은 신호를 제어기에 출력하여 이 포지션을 "제로" 포지션으로서 기록하는 포지션 센서 (233a) 를 통해 모니터링되는, 상기 제 2 포지션, (3) 리프트 핀들 (230) 이 그 상단부들을 상부 유전체판 (216) 과 접촉하게 위치시키기 위해 이동되는 제 3 포지션으로서, 이 포지션은 챔버를 개방할 필요없이 신호를 제어기에 출력하여 갭 및 평탄도 정보를 결정하는 포지션 센서 (233a) 를 통해 모니터링되는, 상기 제 3 포지션, 및 (4) 세정될 웨이퍼의 챔버 안으로의 이송 또는 세정된 웨이퍼의 챔버 밖으로의 이송을 허용하기 위해 리프트 핀들 (230) 이 그들의 풀 업 포지션으로 이동되는 제 4 포지션.
제조 비용을 최소화하기 위해, 리프트 핀들은 바람직하게는 공기 실린더 또는 모터와 같은 공통 리프트 디바이스에 의해 이동된다. 이러한 경우에, 갭 거리는 핀이 상부 전극 어셈블리 상의 상부 유전체판에 접촉할 때 결정될 수 있다. 평탄도 측정을 위해, 각각의 핀에는 공통 리프팅 요크에 대하여 일부 컴플라이언스가 제공될 수 있으며, 예를 들어, 각각의 핀은 각각의 핀의 다른 핀들에 대한 이동을 허용하기 위해 스프링 로딩될 수 있으며 각각의 핀과 연관된 개별 센서가 개별 핀의 포지션에 대응하는 정보를 출력할 수 있다. 핀들 모두를 상부 유전체판과 접촉하게 이동시킴으로써, 상부 유전체판이 기판 지지면과 평행하지 않은 경우, 리프트 핀 센서들에 의해 측정된 각각의 핀의 다른 핀들에 대한 수직 오프셋이 상부 전극 어셈블리의 평탄도를 벗어난 정도를 결정하는데 이용될 수 있다. 바람직하게는, 각각의 리프트 핀 상의 스프링 로드는 웨이퍼의 중량을 지지하는데 충분하며, 즉, 리프트 핀들을 지지하는 스프링들은 웨이퍼 이송 중에 리프트 핀들이 서로에 대하여 동일한 높이에 있도록 웨이퍼의 중량 하에 압축되지 않을 것이다. 대안으로, 리프트 핀들은 독립적인 구동장치들을 가질 수 있다.
기판 (218) 은 구성가능 하부 플라즈마-배제-구역 (PEZ) 링 (260) 상에 탑재되며, PEZ 란 용어는 기판의 중심에서부터 베벨 에지를 세정하기 위한 플라즈마가 배제되는 영역의 외부 에지까지의 반지름 방향 거리를 지칭한다. 전원공급된 전극 (226) 의 최상면, 기판 (218) 의 저면, 및 구성가능 하부 PEZ 링 (260) 의 내주면은 진공 펌프 (236) 와 같은 진공 소스와 유체 소통하는 에워싸진 진공 영역 리세스 (진공 영역) (219) 를 형성한다. 리프트 핀들 (230) 에 대한 원통형 홀들 또는 경로들은 또한 가스 통로들로서 공유되며, 이 가스 통로들을 통하여 진공 펌프 (236) 가 동작 중에 진공 영역 (219) 을 배기시킨다. 전원공급된 전극 (226) 은 진공 영역 (219) 에서의 일시적인 압력 변동을 감소시키기 위해, 및 다수의 리프트 핀들이 이용되는 경우들에는 원통형 홀들에 대해 균일한 흡입율 (suction rate) 을 제공하기 위해 플레넘 (234) 을 포함한다.
기판 (218) 의 최상면 상에는 일련의 프로세스들에 의해 형성된 집적 회로들이 있다. 프로세스들 중 하나 이상은 기판에 열 에너지를 전달할 수도 있는 플라즈마의 이용에 의해 수행될 수도 있어, 기판 상에 열응력을 나타나게 하고, 이로써 웨이퍼 보잉 (wafer bowing) 을 야기한다. 베벨 세정 동작 동안, 기판 보잉은 기판 (218) 의 최상면과 저면 사이의 압력 차의 이용에 의해 감소될 수 있다. 진공 영역 (219) 내의 압력은 플레넘 (234) 에 커플링된 진공 펌프 (236) 에 의한 동작 동안 진공 하에 유지된다. 상부 유전체판 (216) 과 기판 (218) 의 최상면 사이의 갭을 조정함으로써, 갭 내의 가스 압력이 프로세스 가스(들)의 전반적인 유량을 변경하지 않고도 변화될 수 있다. 따라서, 갭 내의 가스 압력을 제어함으로써, 기판 (218) 의 최상면과 저면 사이의 압력 차가 변화될 수 있으며, 이로써 기판 (218) 상에 인가된 굽힘력 (bending force) 이 제어될 수 있다.
저부 유전체 링 (238) 은 Al2O3 을 포함하는 세라믹과 같은 유전성 재료로 형성되며, 전원공급된 전극 (226) 을 챔버 벽 (202) 으로부터 전기적으로 분리한다. 바람직하게는 저부 유전체 링의 하부 부분 (238b) 은 그 상부면의 내주면 상에 형성된 단차 (252) 를 가져 전원공급된 전극 (226) 의 하부 에지 상의 리세스와 짝을 이루게 한다. 바람직하게는 하부 부분 (238b) 은 그 외주면 상에 형성된 단차 (250) 를 가져 포커스링으로 지칭되는 저부 유전체 링의 상부 부분 (238a) 상의 단차식 표면과 짝을 이루게 한다. 단차들 (250, 252) 은 저부 유전체 링 (238) 을 전원공급된 전극 (226) 과 정렬시킨다. 또한, 단차 (250) 는 그 표면을 따라 구불구불한 갭 (tortuous gap) 을 형성하여 전원공급된 전극 (226) 과 챔버 벽 (202) 사이의 직통 가시선 (direct line-of-sight) 을 제거하며 이로써 전원공급된 전극 (226) 과 챔버 벽 (202) 사이에서의 2 차 플라즈마 스트라이크의 가능성을 감소시킨다.
도 3 은 도 2 의 영역 A 의 확대 개략도를 도시한다. 도시한 것처럼, 상부 전극 어셈블리 (204) 는 3 개의 동심으로 포지셔닝된 링들, 즉, 구성가능 상부 PEZ 링 (302); 상부 전극 링 (308); 및 외부의 상부 유전체 링 (310) 을 포함한다. 구성가능 상부 PEZ 링 (302) 과 상부 전극 링 (308) 사이의 갭 (304) 은 에지 가스 통로 (224b) 에 연결된 구불구불한 가스 통로를 형성한다. 구불구불한 갭 (304) 은 에지 가스 통로 (224b) 가 플라즈마에 직접 노출되는 것을 방지하여 에지 가스 통로 (224b) 내에서의 2 차 플라즈마의 형성 또는 플라즈마 라이트-업을 방지 한다. 이러한 2 차 플라즈마는 에지 가스 통로 (224b) 의 내부벽을 부식시키고 상부 금속 컴포넌트 (210) 의 빈번한 교체의 필요성을 초래할 뿐만 아니라 기판 (218) 에 부식된 재료를 도입할 수 있다.
구성가능 상부 PEZ 링 (302) 은 내부 및 외부의 하부 에지들 상에 각각 형성된 2 개의 단차들 또는 리세스들을 가지며, 내부의 하부 에지 상의 단차는 상부 유전체판 (216) 의 플랜지 (330) 와 맞물려 구성가능 상부 PEZ 링을 (302) 을 금속 컴포넌트 (210) 에 대하여 클램핑한다. 구성가능 상부 PEZ 링 (302) 은 상이한 최상부 PEZ 들을 제공하기 위해 다양한 구성들을 가질 수 있다. 도 4a 는 도 3 에 도시된 구성가능 상부 PEZ 링 (302) 의 확대 개략 단면도를 도시하며, 여기서, 거리 (D1) 는 최상부 에지 배제 구역으로 지칭되며 구성가능 상부 PEZ 링 (302) 의 하부 부분 (302a) 의 폭의 변경에 따라 변화한다. 따라서, 구성가능 상부 PEZ 링 (302) 의 구성은 기판 (218) 의 반경에서 거리 D1 를 뺀 것과 같은 최상부 PEZ (402) 를 결정한다. 또한, 구성가능 상부 PEZ 링 (302) 은 플라즈마 부식으로 인해 상부 전극 어셈블리 (204) 의 다른 부품들보다 더 빈번하게 교체되어야 하므로 소모가능한 컴포넌트인 것으로 간주된다. 통상적으로, 프로세스 가스들은 O2 와 같은 산소-함유 가스를 포함할 수도 있다. CF4, SF6 또는 C2F6 와 같은, 10% 미만의 적은 양의 불소-함유 가스가 또한 베벨 에지를 세정하기 위해 첨가될 수도 있다. 이들 반응성 가스들을 함유한 플라즈마는 구성가능 상부 PEZ 링 (302) 을 부식시킬 수도 있으며, 이로써 구성가능 상부 PEZ 링 (302) 의 주기적인 교체를 필요로 한다. 교체 중에 구성가능 상부 PEZ 링 (302) 으로의 용이한 액세스를 위해, 구성가능 상부 PEZ 링 (302) 은 상부 유전체판 (216) 에 의해 적소에 보유되고 챔버 벽 (202) 으로부터 상부 전극 어셈블리 (204) 를 제거하지 않고 교체될 수 있다. 예를 들어, 상부 유전체판 (216) 의 제거가, 링 (302) 이 동일하거나 상이한 구성을 갖는 상이한 링으로 교체되는 것을 허용한다.
구성가능 상부 PEZ 링 (302) 은 플라즈마가 상부 유전체판 (216) 을 직접 부식시키는 것을 방지한다. 구성가능 상부 PEZ 링 (302) 은 완전히 알루미늄 산화물 (Al2O3), 알루미늄 질화물 (AlN), 실리콘 산화물 (SiO2), 실리콘 탄화물 (SiC), 실리콘 질화물 (Si3N4), 실리콘 (Si), 산화이트륨 (Y2O3) 또는 다른 재료들의 링과 같이 도전성, 반도전성 또는 유전성 재료로 형성되며, 또는 지지 링 (124) 은 Si, SiC 또는 Y2O3 과 같은 도전성 또는 유전성 재료, 세라믹 (바람직하게는 Al2O3) 또는 (고저항률을 제공하기 위해 적절하게 도핑된) CVD SiC 와 같은 순수 재료로 코팅된 금속, 세라믹 또는 폴리머의 복합 링일 수 있어, 동작 동안 기판 (218) 의 오염을 감소시킨다. 소모 비용 (CoC) 을 감소시키기 위해, 구성가능 상부 PEZ 링 (302) 은 바람직하게는 작고 단순한 단면을 갖는다. 일반적으로, 일부 세라믹들 내에는 나사 홀들을 천공 및 탭핑하기가 어렵다. 구성가능 상부 PEZ 링 (302) 을 적소에 보유하기 위한 클램핑 장치로 인해, 구성가능 상부 PEZ 링 (302) 은 그것이 상부 유전체판 (216) 에 또는 금속 컴포넌트 (210) 에 고정되도록 하는 나사 홀들을 요구하지 않아, 그 결과 재료를 선택하는데 있어서 유연성을 제공한 다. 구성가능 상부 PEZ 링 (302) 은 높은 전기 저항, 바람직하게는 ~ 105ohm-cm (그러나 이것으로 제한되지는 않는다) 을 갖는 재료로 형성될 수도 있다. 전원공급된 전극 (226) 과 상부 전극 링 (308) 사이의 전기적 커플링이 구성가능 상부 PEZ 링 (302) 의 전기적 특성들에 의해 영향을 받기 때문에, 베벨 에지 근방의 플라즈마 특성들이 구성가능 상부 PEZ 링 (302) 의 재료 및/또는 구성을 변화시킴으로써 제어될 수 있다.
상부 전극 링 (308) 은 상부 금속 컴포넌트 (210) 에 연결되고 상부 금속 컴포넌트 (210) 를 통해 접지된다. 볼트와 같은 나사 패스닝 메커니즘들을 이용하는 대신에, 상부 전극 링 (308) 은 바람직하게는 외부의 상부 유전체 링 (310) 의 클램핑력에 의해 적소에 보유된다. 예를 들어, 상부 전극 링 (308) 은 외부의 상부 유전체 링 (310) 상의 플랜지 (310a) 와 짝을 이루는 플랜지 (308a) 를 가질 수 있다. 그것으로서, 그렇지 않은 경우 노출된 패스닝 메커니즘들의 부식으로부터 발생할 플라즈마 오염이 제거될 수 있다. 상부 전극 링 (308) 은 바람직하게는 양극처리된 알루미늄과 같은 금속으로 형성된다. 보다 청정한 플라즈마가 요구되는 경우들에서, 상부 전극 링 (308) 은 Si (단결정 또는 다결정 실리콘), CVD 저저항률 SiC 또는 임의의 적절한 고-순도 도전성 재료들과 같은 순수 재료들로 형성될 수 있다. 고-순도 재료들의 이용에 대한 비용의 영향을 최소화하기 위해, 상부 전극 링 (308) 의 단면 치수가 최소화된다. 볼트-관통 설계가 이용될 수 있지만, 클램프-인-플레이스 (clamp-in-place) 설계가 상부 전극 링 (308) 의 구성을 단순화하여, CoC 를 낮추고 오염 제어를 위한 광범위한 재료들의 이용을 허용한다. 또한, 하부 전극 링 (306) 및 상부 전극 링 (308) 은 예를 들어 SiN, BN 및 AlN 을 포함하는 다양한 탄소계 재료들 또는 그래파이트로 형성될 수도 있다는 것을 알게 된다.
외부의 상부 유전체 링 (310) 은 Al2O3 와 같은 유전성 재료로 형성되며 Y2O3 으로 코팅될 수도 있다. 외부의 상부 유전체 링 (310) 은 그 상부면 내에 원주방향으로 공간 이격된 나사 홀들 (318) 을 포함하여 외부의 상부 유전체 링 (310) 을 상부 금속 컴포넌트 (210) 에 고정시키기 위한 볼트들 (316) 을 수용한다. 외부의 상부 유전체 링 (310) 은 상부 전극 링 (308) 의 플랜지 (308a) 를 상부 금속 컴포넌트 (210) 에 클램핑하는데 이용되는 돌출부 또는 단차 (플랜지) (310) 를 포함한다. 각각의 볼트 (316) 는 볼트들이 플라즈마에 노출되지 않고 플라즈마에 의해 부식되지 않도록 상부 전극 어셈블리 (204) 의 최상측으로부터 스크류된다는 것을 알게 된다. 외부의 상부 유전체 링 (310) 의 내부 에지 직경은 링 또는 도넛 형상 플라즈마의 외부 직경을 결정한다.
하부 전극 어셈블리 (206) 는 포커스 링 (238a) 을 둘러싸는 하부 금속 라이너 (칼라 (collar) (314)) 및 3 개의 동심으로 포지셔닝된 링들, 즉, 구성가능 하부 PEZ 링 (260); 하부 전극 링 또는 후프 링 (hoop ring; 306); 및 외부의 하부 유전체 링 (312) 을 포함한다. 구성가능 하부 PEZ 링 (260), 하부 전극 링 (306) 및 하부 금속 라이너 (314) 는 저부 유전체 링 또는 포커스 링 (238) (보다 상세하게는, 저부 유전체 링의 상부 부분 (238a)) 및 라이너 (314) 에 의해 지지된 다. 하부 전극 링 (306) 은 외부의 하부 유전체 링 (312) 에 의해 하부 금속 라이너 (314) 의 상부면에 대해 클램핑되며, 여기서 하부 금속 라이너 (314) 는 접지를 위해 챔버 벽 (202) 에 연결된다. 포커스 링 (238a) 은 하부 전극 링 (306) 을 전원공급된 전극의 상부 부분 (226a) 으로부터 전기적으로 분리한다.
전원공급된 전극 (226) 은 바람직하게는 양극처리된 알루미늄과 같은 금속으로 형성된다. 전원공급된 전극 (226) 이 높은 청정 플라즈마가 요구되는 경우들에 있어서 플라즈마에 노출되고 플라즈마에 의해 부식되는 경우, 청정 요건을 충족시키기 위해 전원공급된 전극 (226) 에 대해 고순도 재료를 이용하는 것이 바람직할 것이다. 구성가능 하부 PEZ 링 (260) 은 플라즈마로부터 전원공급된 전극 (226) 을 차폐하도록 설계되기 때문에, 전원공급된 전극 (226) 은 청정 요건과 관계없이 보다 저순도 금속들 또는 재료들로 형성될 수 있다.
도 4b 에 도시한 것처럼, 구성가능 하부 PEZ 링 (260) 은 내부 에지 및 외부 에지 상에 각각 형성된 2 개의 리세스들 또는 단차들을 가지며, 하부의 내부 에지 상의 수직면 (260a) 과 수평면 (260b) 에 의해 형성된 단차는 전원공급된 전극의 상부 부분 (226a) 의 외부 에지 상의 표면들과 짝을 이루고, 수평면 (260c) 과 수직면 (260d) 에 의해 형성된 단차는 포커스 링 (238a) 상의 표면들과 짝을 이룬다. 구성가능 하부 PEZ 링 (260) 은 상이한 사이즈의 저부 플라즈마 배제 구역을 제공하는 PEZ 링으로 교체될 수 있다. 두번째 단차에 의해 형성된 거리 (D2) 는 저부 에지 배제 구역으로 지칭되며, 상부면 (260e) 의 폭을 변화시킴으로써, 기판 (218) 의 반경에서 거리 D2 를 뺀 것과 같은 저부 PEZ (404) 를 변경하는 것이 가능하다. 플라즈마 부식으로 인해, 구성가능 하부 PEZ 링 (260) 은 하부 전극 어셈블리 (206) 의 다른 부품들보다 더 빈번하게 교체되어야 하므로 소모가능한 컴포넌트인 것으로 간주될 것이다. 통상적으로, 프로세스 가스들은 O2 와 같은 산소-함유 가스를 포함할 수도 있다. CF4, SF6 또는 C2F6 와 같은, 10% 미만의 적은 양의 불소-함유 가스가 또한 베벨 에지를 세정하기 위해 첨가될 수도 있다. 이들 반응성 가스들을 함유한 플라즈마는 구성가능 하부 PEZ 링 (260) 을 부식시켜 구성가능 하부 PEZ 링 (260) 의 주기적인 교체를 필요로 할 수도 있다. 교체 중에 구성가능 하부 PEZ 링 (260) 으로의 용이한 액세스를 위해, 구성가능 하부 PEZ 링 (260) 은 전원공급된 전극의 상부 부분 (226a) 및 포커스 링 (238a) 의 단차들 상에 제거가능하게 탑재되며 챔버 벽 (202) 으로부터 하부 전극 어셈블리 (206) 를 제거하지 않고 교체될 수 있다.
상기 언급한 것처럼, 기판 (218) 은 구성가능 하부 PEZ 링 (260) 의 상부면 (206e; 도 4b) 상에 탑재된다. 높이들 (H1 및 H2) 은 기판 (218) 과 전원공급된 전극 (226) 사이의 수직적 분리를 결정한다. 그들 사이의 반복가능한 정렬을 위해, 높이들 (H1 및 H2) 은 정밀하게 제어되는 것이 바람직하다.
구성가능 하부 PEZ 링 (260) 은 전원공급된 전극 (226) 을 베벨 세정을 달성하는데 이용된 플라즈마에 의한 공격으로부터 보호한다. 구성가능 하부 PEZ 링 (260) 은 완전히 알루미늄 산화물 (Al2O3), 알루미늄 질화물 (AlN), 실리콘 산화물 (SiO2), 실리콘 탄화물 (SiC), 실리콘 질화물 (Si3N4), 실리콘 (Si), 산화이트륨 (Y2O3) 또는 다른 재료들의 링과 같이 도전성, 반도전성 또는 유전성 재료로 형성되며, 또는 지지 링 (124) 은 Si, SiC 또는 Y2O3 와 같은 도전성 또는 유전성 재료, 예를 들어, 세라믹 (바람직하게는 Al2O3) 또는 Si (단결정 또는 다결정 실리콘), CVD 고저항률 SiC 등과 같은 순수 재료로 코팅된 금속, 세라믹 또는 폴리머의 복합 링일 수 있어, 세정 동작 동안 기판 (218) 의 오염을 감소시킨다. 일반적으로, 일부 세라믹들 내에 나사 홀들을 천공 및 탭핑하기가 어렵다. 구성가능 하부 PEZ 링 (260) 은 포커스 링 (238a) 에 고정되는 나사 홀들을 요구하지 않아, 그 결과 재료를 선택하는데 있어서 유연성을 제공한다. 구성가능 하부 PEZ 링 (260) 은 또한 높은 전기 저항, 바람직하게는 ~ 105ohm-cm (그러나 이것으로 제한되지는 않는다) 를 갖는 재료로 형성될 수도 있다. 전원공급된 전극 (226) 과 하부 전극 링 (306) 사이의 전기적 커플링이 구성가능 하부 PEZ 링 (260) 의 전기적 특성들에 의해 영향을 받기 때문에, 플라즈마 특성들이 구성가능 하부 PEZ 링 (260) 의 재료 및/또는 구성을 변화시킴으로써 제어될 수 있다.
하부 전극 링 (306) 은 하부 금속 라이너 (314) 에 연결되고 하부 금속 라이너 (314) 를 통해 접지된다. 볼트와 같은 나사 패스닝 메커니즘들을 이용하는 대신에, 하부 전극 링 (306) 은 바람직하게는 외부의 하부 유전체 링 (312) 의 클 램핑력에 의해 적소에 보유된다. 예를 들어, 하부 전극 링 (306) 상의 외부 플랜지 (306a) 는 외부의 하부 유전체 링 (312) 상의 내부 플랜지 (312a) 와 맞물려 질 수 있어, 하부 전극 링 (306) 이 하부 금속 라이너 (314) 에 대하여 클램핑된다. 그것으로서, 그렇지 않은 경우 노출된 패스닝 메커니즘들의 부식으로부터 발생할 플라즈마 오염이 제거될 수 있다. 하부 전극 링 (306) 은 바람직하게는 양극처리된 알루미늄과 같은 금속으로 형성된다. 보다 청정한 플라즈마가 요구되는 경우들에서, 하부 전극 링 (306) 은 순수 Si (예를 들어, 단결정 또는 다결정 실리콘), CVD 저저항률 SiC 또는 임의의 적절한 고-순도 도전성 재료들과 같은 고순도 재료들로 형성될 수도 있다. 고-순도 재료들의 이용에 대한 비용의 영향을 최소화하기 위해, 하부 전극 링 (306) 의 단면 치수들이 최소화될 수 있다. 클램프-인-플레이스 설계의 이용은 하부 전극 링 (306) 의 구성을 단순화하며, 이로써 오염 제어를 위한 광범위한 재료들의 이용을 통하여 CoC 를 낮춘다.
외부의 하부 유전체 링 (312) 은 Al2O3 와 같은 유전성 재료로 형성되며, Y2O3 로 코팅될 수도 있다. 외부의 하부 유전체 링 (312) 은 외부의 하부 유전체 링 (312) 을 하부 금속 라이너 (314) 에 고정시키기 위한 볼트들 (322) 을 수용하는 일련의 나사 홀들 (320) 을 포함한다. 상기 언급한 것처럼, 외부의 하부 유전체 링 (312) 은 하부 전극 링 (306) 을 하부 금속 라이너 (314) 에 클램핑하는데 이용되는 돌출부 또는 단차 (플랜지) 를 포함한다. 볼트들 (322) 은 볼트들 (322) 이 플라즈마에 노출되지 않고 그 플라즈마에 의해 부식되지 않도록 하부 전 극 어셈블리 (206) 의 저부측으로부터 스크류된다는 것을 알게 된다. 외부의 하부 유전체 링 (312) 의 내부 에지 직경은 링 또는 도넛 형상 플라즈마의 외부 직경을 결정한다.
도 5a 는 다른 실시형태에 따라 베벨 에처 (500) 의 개략 단면도를 도시한다. 도 5b 는 도 5a 의 영역 B 의 확대 개략도를 도시한다. 도시한 것처럼, 베벨 에처 (500) 의 컴포넌트들은 도 2 에 도시된 컴포넌트들과 상당히 유사하다. 차이들은 하부 전극 어셈블리 (506) 가 전원공급된 전극 (226) 대신에 하부 지지체 (502) 를 포함하며, 하부 전극 링 (504) 이 하부 금속 라이너 (510) 를 통해 RF 전원 (508) 에 커플링된다는 것이다. 하부 지지체 (502) 는 유전성 재료로 형성되며, 베벨 세정 동작 동안 기판 (518) 을 적소에 보유하는 진공 척으로서 동작한다.
동작 동안, RF 전원 (508) 은 RF 전력을 제공하여 가스 공급부들 (512, 514) 중 적어도 하나의 가스 공급부를 통하여 제공된 프로세스 가스를 플라즈마로 에너자이징하며, 여기서 RF 전력은 ~ 2MHz 내지 ~ 13MHz (그러나 이것으로 제한되지는 않는다) 의 소정 범위 내의 하나 이상의 주파수들에서 공급된다. 일 변형에서, 상부 전극 링 (516) 은 RF 전원에 커플링되는 한편, 하부 전극 링 (504) 은 접지된다.
도 6 은 또 다른 실시형태에 따라 베벨 에처 (600) 의 개략 단면도를 도시한다. 베벨 에처 (600) 의 컴포넌트들은 도 5a 및 도 5b 에 도시된 컴포넌트들과 유사하다. 차이는 하부 전극 어셈블리 (602) 가 금속으로 형성된 하부 지지체 (604) 를 포함하며 하부 지지체 (604) 의 상부면이 유전체 코팅 또는 층 (606) 으로 커버된다는 것이다. 일 변형에서, 상부 전극 링 (608) 은 RF 전원에 커플링되는 한편, 하부 전극 링 (610) 은 접지된다.
도 7 은 추가 실시형태에 따라 베벨 에처 (700) 의 개략 단면도를 도시한다. 도시한 것처럼, 베벨 에처 (700) 의 컴포넌트들은 도 2 에 도시된 컴포넌트들과 유사하며, 차이는 정전 척 (702) 이 진공 척 대신에 이용된다는 것이다. 정전 척 (702) 은 전원공급된 전극 (710) 상에 배치되며 베벨 세정 동작 동안 기판 (712) 을 적소에 보유한다. 상부 전극 링 (704) 및 하부 전극 링 (706) 은 접지되는 한편, 전원공급된 전극 (710) 은 플라즈마를 생성하기 위해 전력을 공급하는 RF 전원 (708) 에 커플링된다.
도 8 은 또 다른 실시형태에 따라 베벨 에처 (800) 의 개략 단면도를 도시한다. 도시한 것처럼, 베벨 에처 (800) 의 컴포넌트들은 도 7 에 도시된 컴포넌트들과 유사하며, 즉, 정전 척 (802) 은 베벨 세정 동작 동안 기판 (812) 을 적소에 보유하는데 이용된다. 차이는 상부 전극 링 (804) 이 접지되는 한편, 하부 전극 링 (806) 이 플라즈마를 생성하기 위해 RF 전력을 공급하는 RF 전원 (808) 에 커플링된다는 것이다. 일 변형에서, 상부 전극 링 (804) 은 RF 전원에 커플링되는 한편, 하부 전극 (806) 은 접지된다.
도 9 는 또 다른 추가 실시형태에 따라 베벨 에처 (900) 의 개략 단면도를 도시한다. 베벨 에처 (900) 내의 컴포넌트들은 도 2 에 도시된 컴포넌트들과 유사하다. 차이는 이 실시형태에서, 알루미늄과 같은 도전성 재료로 이루어지 는 할로우 캐소드 링 (904) 이 외부의 상부 및 하부 유전체 링들 (912, 914) 의 외부에 위치된다는 것이다. 할로우 캐소드 링 (904) 은 베벨 에지에 면하는 채널 (906) 을 갖는다. 할로우 캐소드 링 (904) 은 적절한 디바이스 (도 9 에는 미도시) 에 의해 기판 (916) 을 로딩/언로딩하는 동안 수직 방향으로 이동되는 것을 알게 된다.
할로우 캐소드 링 (904) 은 RF 전원 (918) 에 커플링되며, 하부 전극 링 (908) 과 상부 전극 링 (910) 양자는 접지된다. RF 전원은 예를 들어 ~ 2MHz 내지 ~ 13MHz 의 주파수 범위에서 RF 전력을 공급한다. 일 변형에서, 상부 전극 링 (910) 은 RF 전원에 커플링되는 한편, 하부 전극 링 (908) 및 할로우 캐소드 링 (904) 은 접지된다. 다른 변형에서, 하부 전극 링 (908) 은 RF 전원에 커플링되는 한편, 상부 전극 링 (910) 및 할로우 캐소드 링 (904) 은 접지된다. 또 다른 변형에서, 할로우 캐소드 링 (904) 은 또한 챔버 (902) 의 내부 및 진공 척 (920) 의 최상면을 세정하기 위한 플라즈마를 생성하기 위해 고-주파수 RF 전원에 커플링되며, 여기서 고-주파수 RF 전원은 예를 들어, ~ 27MHz 내지 ~ 60MHz 범위에서 RF 전력을 제공한다.
도 10 은 추가 실시형태에 따라 베벨 에처 (1000) 의 개략 단면도를 도시한다. 베벨 에처 (1000) 의 컴포넌트들은 도 2 에 도시된 컴포넌트들과 유사하다. 차이는 유도성 코일(들) (1006) 이 기판 에지 및 외부의 하부 유전체 링 (1016) 과 외부의 상부 유전체 링 (1014) 사이의 공간을 둘러싼다는 것이다. 유도성 코일(들) (1012) 은 유전체 지지체 (1004) 에 커플링되는 유전성 재료 (1006) 내에 임베딩된다. 유전체 지지체 (1004) 는 기판을 로딩/언로딩하는 동안 유도성 코일 (1012) 을 수직 방향으로 이동시키기 위한 적절한 메커니즘 (도 10 에는 미도시) 을 포함한다.
유도성 코일 (1012) 은 RF 전원 (1018) 에 커플링된다. 베벨 에지 세정 프로세스 동안, RF 전원 (1018) 은 ~ 2MHz 내지 ~ 13MHz (그러나 이것으로 제한되지는 않는다) 범위에서 RF 전력을 공급하여 기판 에지 근처에 유도성 커플링된 플라즈마를 생성한다. 상부 전극 링 (1010) 및 하부 전극 링 (1008) 은 유도성 플라즈마를 위한 리턴 경로를 제공하기 위해 접지된다. 유도성 코일 (1012) 은 세정 플라즈마를 제공하여 베벨 에지를 세정한다. 일 변형에서, 유도성 코일 (1012) 은 또한 챔버 (1002) 의 내부 및 진공 척 (1020) 의 최상면을 세정하기 위한 플라즈마를 생성하기 위해 고-주파수 RF 전원에 커플링되며, 여기서 고-주파수 RF 전원은 예를 들어, ~ 27MHz 내지 ~ 60MHz 범위에서 RF 전력을 제공한다.
도 2 및 도 6 내지 도 10 의 실시형태들이 중앙 가스 공급부 및 에지 가스 공급부를 갖는다는 것을 알게 된다. 그러나, 가스 공급부들의 개수는 기판 및/또는 베벨 에지 부근으로의 원하는 가스 분포를 달성하기 위해 변화될 수도 있다. 또한, 상부 유전체판은 홀들의 임의의 적절한 개수 및 배열을 가질 수도 있다.
본 발명은 본 발명의 특정 실시형태들을 참조하여 상세하게 설명되었지만, 당업자라면 첨부된 특허청구 범위로부터 벗어남 없이 다양한 변경들 및 변형들이 행해지며 동등물이 이용될 수 있다는 것을 알 것이다.

Claims (20)

  1. 반도체 기판의 베벨 에지가 플라즈마 세정되는 베벨 에처로서,
    원통형 최상부 부분을 갖는 하부 지지체;
    상기 하부 지지체의 상기 원통형 최상부 부분 상에 지지되며, 상기 반도체 기판의 상기 베벨 에지가 상부면의 외부 에지의 바깥쪽으로 연장하도록 상기 반도체 기판이 지지되는 상기 상부면을 갖는 하부 플라즈마-배제-구역 (Plasma-Exclusion-Zone; PEZ) 링;
    상기 하부 지지체 위에 배치되며 상기 하부 지지체의 상기 원통형 최상부 부분에 대향하는 원통형 저부 부분을 갖는 상부 유전체 컴포넌트;
    상기 상부 유전체 컴포넌트의 상기 원통형 저부 부분을 둘러싸고 상기 하부 PEZ 링에 대향하는 상부 PEZ 링으로서, 상기 하부 PEZ 링과 상기 상부 PEZ 링 사이의 환상 공간 (annular space) 이 상기 플라즈마에 의해 세정될 상기 베벨 에지의 범위를 제한하는, 상기 상부 PEZ 링; 및
    세정 동작 동안 프로세스 가스를 상기 플라즈마로 에너자이징하도록 구성된 적어도 하나의 무선 주파수 (RF) 전원을 포함하며,
    상기 하부 PEZ 링 및 상기 상부 PEZ 링은 상기 세정 동작 동안 상기 플라즈마로부터 상기 하부 지지체 및 상기 상부 유전체 컴포넌트를 각각 차폐하도록 구성되는, 베벨 에처.
  2. 제 1 항에 있어서,
    상기 적어도 하나의 RF 전원은,
    상기 하부 PEZ 링을 둘러싸는 하부 전극 링; 및
    상기 상부 PEZ 링을 둘러싸고 상기 하부 전극 링에 대향하는 상부 전극 링을 포함하는, 베벨 에처.
  3. 제 2 항에 있어서,
    (a) 상기 하부 전극 링 및 상기 상부 전극 링은 접지되고, 상기 하부 지지체는 상기 플라즈마를 생성하기 위해 무선 주파수 (RF) 전력을 공급하는 RF 전력 생성기에 커플링되며; (b) 상기 하부 전극 링은 접지되고, 상기 상부 전극 링은 상기 플라즈마를 생성하기 위해 무선 주파수 (RF) 전력을 공급하는 RF 전력 생성기에 커플링되며; 또는 (c) 상기 상부 전극 링은 접지되고, 상기 하부 전극 링은 상기 플라즈마를 생성하기 위해 무선 주파수 (RF) 전력을 공급하는 RF 전력 생성기에 커플링되는, 베벨 에처.
  4. 제 3 항에 있어서,
    상기 하부 지지체의 상기 원통형 최상부 부분은 유전성 재료로 형성되는, 베벨 에처.
  5. 제 2 항에 있어서,
    상기 상부 전극 링 및 상기 하부 전극 링은 금속, Si, SiC, 및 탄소계 재료들로 이루어진 그룹으로부터 선택된 재료로 형성되는, 베벨 에처.
  6. 제 2 항에 있어서,
    상기 상부 유전체 컴포넌트, 상기 상부 전극 링 및 상기 상부 PEZ 링 위에 놓이는 상부 금속 컴포넌트;
    상기 상부 전극 링을 둘러싸고 상기 상부 금속 컴포넌트에 고정되며, 상기 상부 전극 링을 상기 상부 금속 컴포넌트에 클램핑하는 플랜지를 포함하는 상부 유전체 링;
    상기 하부 전극 링 아래에 놓이는 하부 금속 라이너;
    상기 하부 전극 링을 둘러싸고 상기 하부 금속 라이너에 고정되며, 상기 하부 전극 링을 상기 하부 금속 라이너에 클램핑하는 플랜지를 포함하는 하부 유전체 링; 및
    상기 하부 지지체와 상기 하부 금속 라이너 사이에 개재되고 상기 하부 지지체를 상기 하부 금속 라이너로부터 및 상기 하부 전극 링으로부터 전기적으로 분리하도록 구성되는 포커스 링을 더 포함하는, 베벨 에처.
  7. 제 6 항에 있어서,
    상기 상부 금속 컴포넌트는 프로세스 가스를 함유하는 가스 소스에 커플링되도록 구성된 적어도 하나의 가스 통로를 포함하고, 상기 상부 전극 링은 상기 상부 PEZ 링으로부터 갭에 의해 분리되며, 상기 갭은 상기 프로세스 가스가 가스 공급장치로부터 상기 환상 공간으로 흐르는 구불구불한 경로 (tortuous path) 를 통해 상기 적어도 하나의 가스 통로와 유체 소통하고 있는, 베벨 에처.
  8. 제 6 항에 있어서,
    (a) 상기 하부 유전체 링은 상기 하부 금속 라이너 내의 홀들을 통과하는 볼트들을 수용하는 나사 (threaded) 홀들을 포함하며, 상기 볼트들은 상기 하부 유전체 링을 상기 하부 금속 라이너에 대하여 고정시키며; (b) 상기 상부 유전체 링은 상기 상부 금속 컴포넌트 내의 홀들을 통과하는 볼트들을 수용하는 나사 홀들을 포함하며, 상기 볼트들은 상기 상부 유전체 링을 상기 상부 금속 컴포넌트에 대하여 고정시키며; (c) 상기 포커스 링 및 상기 상부 유전체 컴포넌트는 선택적으로는 Y2O3 으로 코팅된 세라믹으로 형성되며; 또는 (d) 상기 하부 유전체 링 및 상기 상부 유전체 링은 세라믹으로 형성되는, 베벨 에처.
  9. 제 7 항에 있어서,
    (a) 상기 하부 유전체 링 및 상기 상부 유전체 링의 외부 에지들을 둘러싸는 할로우 (hollow) 캐소드 링으로서, 베벨 세정 동작 동안, 상기 할로우 캐소드 링이 RF 전력 생성기에 커플링되고 상기 상부 전극 링 및 상기 하부 전극 링이 접지되거나, 또는 상기 상부 전극 링 및 상기 하부 전극 링이 RF 전력 생성기에 커플링되고 상기 할로우 캐소드 링이 접지되는, 상기 할로우 캐소드 링; 또는 (b) RF 생성기에 커플링되고 상기 하부 유전체 링 및 상기 상부 유전체 링의 상기 외부 에지들을 둘러싸는 유도성 코일로서, 상기 상부 전극 링 및 상기 하부 전극 링이 접지되고 상기 유도성 코일이 상기 RF 생성기로부터의 RF 전력의 수신 시에 상기 플라즈마를 생성하도록 동작하는, 상기 유도성 코일을 더 포함하는, 베벨 에처.
  10. 제 2 항에 있어서,
    (a) 상기 상부 유전체 컴포넌트는 상기 원통형 저부 부분의 외부 에지를 따라 형성된 링-형상 플랜지를 갖고, 상기 상부 PEZ 링은 그 내부 에지를 따라 형성된 짝을 이루는 (mating) 내부 플랜지를 가지며, 상기 링-형상 플랜지는 상기 상부 PEZ 링이 상기 상부 유전체 컴포넌트에 분리가능하게 고정되도록 상기 내부 플랜지와 맞물리며, 또는 (b) 상기 하부 지지체는 상기 원통형 최상부 부분의 외부 에지를 따라 형성된 링-형상 플랜지를 갖고, 상기 하부 PEZ 링은 그 내부 에지를 따라 형성된 짝을 이루는 내부 플랜지를 가지며, 상기 링-형상 플랜지는 상기 하부 PEZ 링이 상기 하부 지지체에 분리가능하게 고정되도록 상기 내부 플랜지와 맞물리는, 베벨 에처.
  11. 제 1 항에 있어서,
    (a) 상기 하부 PEZ 링의 최상부 부분의 외부 직경은 상기 반도체 기판의 저부 에지 배제부를 정의하고; (b) 상기 하부 PEZ 링 및 상기 상부 PEZ 링은 도전성, 반도전성, 유전성 재료, 세라믹 및 고전기저항 재료로 이루어진 그룹으로부터 선택된 재료로 형성되며; (c) 상기 상부 PEZ 링의 저부 부분의 외부 직경은 상기 반도체 기판의 최상부 에지 배제부를 정의하고; 또는 (d) 상기 하부 지지체의 상기 원통형 최상부 부분은 정전 척을 포함하는, 베벨 에처.
  12. 반도체 기판의 베벨 에지를 세정하는 방법으로서,
    제 1 항에 기재된 베벨 에처 내에 반도체 기판을 지지하는 단계;
    프로세스 가스를 플라즈마로 에너자이징하는 단계; 및
    상기 베벨 에지를 상기 플라즈마로 세정하는 단계를 포함하는, 베벨 에지의 세정 방법.
  13. 제 6 항에 기재된 베벨 에처 내의 상기 상부 PEZ 링 또는 상기 하부 PEZ 링을 교체하는 방법으로서,
    (a) 상기 상부 유전체 컴포넌트를 상기 상부 금속 컴포넌트로부터 분리하고, 상기 상부 유전체 컴포넌트 상의 플랜지를 상기 상부 PEZ 링 상의 플랜지로부터 맞물림해제하며, 새로운 PEZ 링을 상부 유전체판 상에 피팅함으로써 상기 상부 PEZ 링을 상기 새로운 PEZ 링으로 교체하며, 상기 상부 유전체판을 상기 상부 금속 컴포넌트에 부착하는 단계 또는 (b) 상기 하부 PEZ 링을 상기 하부 지지체 위로 들어올리고 상기 하부 PEZ 링을 새로운 PEZ 링으로 교체하는 단계를 포함하는, PEZ 링의 교체 방법.
  14. 반도체 기판의 베벨 에지가 플라즈마 세정되는 베벨 에처의 구성가능한 부품으로서,
    상기 베벨 에처는 베벨 세정 동작 동안 웨이퍼가 지지되는 하부 전극 어셈블리, 및 하부 지지체에 면하는 유전체판을 포함하고 상기 유전체판을 상기 반도체 기판의 상부면으로부터 작은 거리만큼 떨어져 포지셔닝하기 위해 수직으로 이동가능한 상부 지지체에 부착된 상부 전극 어셈블리를 포함하며,
    상기 상부 전극 어셈블리는 상기 베벨 세정 동작 동안 상기 베벨 에지 근방으로 가스가 흐르게 될 수 있는 적어도 하나의 가스 통로를 포함하고, 상기 유전체판은 상기 베벨 세정 동작 동안 상기 기판의 표면 위로 가스가 흐르게 될 수 있는 적어도 하나의 가스 통로를 가지며,
    상기 구성가능한 부품은 (1) 상기 베벨 세정 동작 동안 상기 플라즈마로부터 상기 하부 지지체를 차폐하도록 구성된 도전성, 반도전성 또는 유전성 재료의 하부 플라즈마-배제-구역 (Plasma-Exclusion-Zone; PEZ) 링, (2) 상기 베벨 세정 동작 동안 상기 플라즈마로부터 상부 유전체판을 차폐하도록 구성된 도전성, 반도전성 또는 유전성 재료의 상부 PEZ 링, (3) 상기 상부 PEZ 링을 둘러싸는 상부 링 전극, (4) 상기 하부 PEZ 링을 둘러싸는 하부 링 전극, (5) 상기 상부 전극 링을 둘러싸는 상부 유전체 링, 및 (6) 상기 하부 전극을 둘러싸는 하부 유전체 링 중 적어도 하나를 포함하는, 베벨 에처의 구성가능한 부품.
  15. 제 14 항에 있어서,
    (a) 상기 구성가능한 부품은 상기 하부 PEZ 링이며, 상기 하부 PEZ 링은 상기 하부 지지체 상에 지지되도록 구성된 하부면을 갖고, 상기 하부 PEZ 링은 상기 반도체 기판을 지지하도록 구성된 상부면을 가져, 상기 반도체 기판의 상기 베벨 에지가 상기 상부면의 외부 에지의 바깥쪽으로 연장하고, 상기 하부면은 상기 상부면보다 넓으며, 또는 (b) 상기 구성가능한 부품은 상기 상부 PEZ 링이며, 상기 상부 PEZ 링은 상기 베벨 에처 내에 탑재될 때 상기 플라즈마에 의해 세정될 상기 베벨 에지의 범위를 제한하는 환상 공간 (annular space) 이 상기 하부 PEZ 링과 상기 상부 PEZ 링 사이에 형성되도록 상기 상부 유전체판 상의 외부 플랜지의 상부면과 맞물리는 하부면을 갖는 내부 플랜지를 포함하는, 베벨 에처의 구성가능한 부품.
  16. 제 14 항에 있어서,
    (a) 상기 구성가능한 부품은 상기 상부 링 전극이며, 상기 상부 링 전극은 상기 상부 PEZ 링 주위에 밀접하게 피팅하도록 구성된 내부 직경을 갖고, 상기 상부 링 전극은 상기 상부 링 전극을 상기 상부 지지체에 클램핑하는 상기 상부 유전체 링 상의 내부 플랜지와 맞물리도록 구성된 외부 플랜지를 가지며, 또는 (b) 상기 구성가능한 부품은 상기 하부 링 전극이며, 상기 하부 링 전극은 상기 하부 PEZ 링 주위에 밀접하게 피팅하도록 구성된 내부 직경을 갖고, 상기 하부 링 전극은 상기 하부 링 전극을 상기 하부 지지체에 클램핑하는 상기 하부 유전체 링 상의 내부 플랜지와 맞물리도록 구성된 외부 플랜지를 갖는, 베벨 에처의 구성가능한 부품.
  17. 제 14 항에 있어서,
    상기 구성가능한 부품은 상기 상부 유전체 링이며, 상기 상부 유전체 링은 상기 상부 링 전극 상의 외부 플랜지와 맞물리고 상기 상부 링 전극을 상기 상부 지지체에 클램핑하도록 구성된 내부 플랜지를 포함하고, 상기 상부 유전체 링은 상기 상부 유전체 링의 상부면 내에 홀들을 포함하며, 상기 홀들은 상기 상부 유전체 링에 패스너들이 고정될 수 있는 상기 상부 지지체 내의 홀들과 정렬하도록 구성되는, 베벨 에처의 구성가능한 부품.
  18. 제 14 항에 있어서,
    상기 구성가능한 부품은 상기 하부 유전체 링이며, 상기 하부 유전체 링은 상기 하부 링 전극 상의 외부 플랜지와 맞물리고 상기 하부 링 전극을 상기 하부 지지체에 클램핑하도록 구성된 내부 플랜지를 포함하고, 상기 하부 유전체 링은 상기 하부 유전체 링의 하부면 내에 홀들을 포함하며, 상기 홀들은 상기 하부 유전체 링에 패스너들이 고정될 수 있는 상기 하부 지지체 내의 홀들과 정렬하도록 구성되는, 베벨 에처의 구성가능한 부품.
  19. 제 15 항에 있어서,
    상기 하부 PEZ 링은 상기 하부면과 상기 상부면 사이에 수직으로 연장하는 단차식 내부면으로서, 상기 상부면 및 상기 하부면이 서로 평행한, 상기 단차식 내부면 및 상기 반도체 기판이 상기 하부 PEZ 링 상에 지지될 때 상기 반도체 기판 아래에 개방 공간 (open space) 이 놓이도록 단차가 형성되는 외부면을 포함하는, 베벨 에처의 구성가능한 부품.
  20. 제 16 항에 있어서,
    상기 상부 PEZ 링은 상기 상부 PEZ 링의 상부면과 하부면 사이에 수직으로 연장하는 단차식 내부면으로서, 상기 상부면 및 상기 하부면이 서로 평행한, 상기 단차식 내부면 및 상기 반도체 기판이 상기 하부 PEZ 링 상에 지지될 때 상기 반도체 기판 위에 개방 공간 (open space) 이 놓이도록 단차가 형성되는 외부면을 포함하는, 베벨 에처의 구성가능한 부품.
KR1020097016913A 2007-01-26 2008-01-24 구성가능한 베벨 에처 KR101445416B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/698,190 2007-01-26
US11/698,190 US7943007B2 (en) 2007-01-26 2007-01-26 Configurable bevel etcher
PCT/US2008/000939 WO2008091667A1 (en) 2007-01-26 2008-01-24 Configurable bevel etcher

Publications (2)

Publication Number Publication Date
KR20090110852A KR20090110852A (ko) 2009-10-22
KR101445416B1 true KR101445416B1 (ko) 2014-09-26

Family

ID=39644815

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097016913A KR101445416B1 (ko) 2007-01-26 2008-01-24 구성가능한 베벨 에처

Country Status (7)

Country Link
US (2) US7943007B2 (ko)
JP (1) JP5248525B2 (ko)
KR (1) KR101445416B1 (ko)
CN (1) CN101589457B (ko)
SG (2) SG2014013858A (ko)
TW (1) TWI416621B (ko)
WO (1) WO2008091667A1 (ko)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7597816B2 (en) * 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
KR101149332B1 (ko) * 2005-07-29 2012-05-23 주성엔지니어링(주) 플라즈마 식각 장치
US8083890B2 (en) * 2005-09-27 2011-12-27 Lam Research Corporation Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
JP4410771B2 (ja) * 2006-04-28 2010-02-03 パナソニック株式会社 ベベルエッチング装置およびベベルエッチング方法
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
KR100831576B1 (ko) * 2006-12-27 2008-05-21 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7981307B2 (en) * 2007-10-02 2011-07-19 Lam Research Corporation Method and apparatus for shaping gas profile near bevel edge
CN101986777B (zh) * 2007-12-27 2014-02-19 朗姆研究公司 斜面蚀刻工艺之后的铜脱色防止
US8257503B2 (en) * 2008-05-02 2012-09-04 Lam Research Corporation Method and apparatus for detecting plasma unconfinement
US8323523B2 (en) 2008-12-17 2012-12-04 Lam Research Corporation High pressure bevel etch process
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
KR101540609B1 (ko) * 2009-02-24 2015-07-31 삼성전자 주식회사 웨이퍼 에지 식각 장치
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
JP5551420B2 (ja) * 2009-12-04 2014-07-16 東京エレクトロン株式会社 基板処理装置及びその電極間距離の測定方法並びにプログラムを記憶する記憶媒体
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
JP5782226B2 (ja) * 2010-03-24 2015-09-24 東京エレクトロン株式会社 基板処理装置
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
JP5809396B2 (ja) * 2010-06-24 2015-11-10 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US9171702B2 (en) * 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
US8920564B2 (en) * 2010-07-02 2014-12-30 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
US8501283B2 (en) * 2010-10-19 2013-08-06 Lam Research Corporation Methods for depositing bevel protective film
TWI719473B (zh) * 2011-10-05 2021-02-21 美商應用材料股份有限公司 對稱電漿處理腔室
CN103624032B (zh) * 2012-08-23 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种晶片的单片清洗方法
US20140179108A1 (en) * 2012-12-21 2014-06-26 Applied Materials, Inc. Wafer Edge Protection and Efficiency Using Inert Gas and Ring
US9564285B2 (en) * 2013-07-15 2017-02-07 Lam Research Corporation Hybrid feature etching and bevel etching systems
US10937634B2 (en) 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US10095114B2 (en) * 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
KR102604063B1 (ko) * 2016-08-18 2023-11-21 삼성전자주식회사 정전 척 어셈블리 및 이를 포함하는 기판 처리 장치
CN106206236B (zh) * 2016-08-30 2018-05-04 上海华力微电子有限公司 刻蚀设备以及用于去除晶背边缘薄膜的晶背边缘刻蚀方法
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
KR102024568B1 (ko) * 2018-02-13 2019-09-24 한국기초과학지원연구원 환형 면방전 플라즈마 장치를 이용한 점상 식각 모듈 및 점상 식각 모듈의 식각 프로파일을 제어하는 방법
CN108470670B (zh) * 2018-02-26 2020-07-24 德淮半导体有限公司 刻蚀电极和边缘刻蚀装置
CN108666244A (zh) * 2018-05-15 2018-10-16 长江存储科技有限责任公司 斜面刻蚀装置及晶圆刻蚀方法
US10790123B2 (en) * 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
JP2022502867A (ja) * 2018-10-18 2022-01-11 ラム リサーチ コーポレーションLam Research Corporation ベベルエッチャ用の下側プラズマ排除区域リング
CN113196452A (zh) 2019-01-18 2021-07-30 应用材料公司 用于电场引导的光刻胶图案化工艺的膜结构
JP2020167380A (ja) * 2019-03-28 2020-10-08 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置
KR102214333B1 (ko) 2019-06-27 2021-02-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
KR20210025744A (ko) * 2019-08-27 2021-03-10 삼성전자주식회사 기판 가장자리의 베벨 식각 장치 및 그를 이용한 반도체 소자의 제조 방법
KR102116474B1 (ko) * 2020-02-04 2020-05-28 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
KR102396430B1 (ko) * 2020-03-30 2022-05-10 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
KR102275757B1 (ko) * 2020-08-24 2021-07-09 피에스케이 주식회사 기판 처리 장치
KR20220029103A (ko) * 2020-09-01 2022-03-08 삼성전자주식회사 플라즈마 공정 장비

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001527285A (ja) 1997-12-19 2001-12-25 ラム リサーチ コーポレーション フォーカスリングおよびそのための方法
JP2005539397A (ja) 2002-09-18 2005-12-22 ラム リサーチ コーポレーション プラズマ処理チャンバー内におけるエッジリング磨耗の補償のための方法および装置
KR20060016280A (ko) * 2004-08-17 2006-02-22 주식회사 하이닉스반도체 플라즈마를 이용한 웨이퍼 에지 세정 장치

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4899195A (en) * 1988-01-29 1990-02-06 Ushio Denki Method of exposing a peripheral part of wafer
US4875989A (en) * 1988-12-05 1989-10-24 Texas Instruments Incorporated Wafer processing apparatus
JPH02192717A (ja) 1989-01-20 1990-07-30 Sharp Corp レジスト除去装置
US5213650A (en) * 1989-08-25 1993-05-25 Applied Materials, Inc. Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
SG67879A1 (en) * 1991-08-22 1999-10-19 At & T Corp Removal of substrate perimeter material
JP3151014B2 (ja) 1991-09-20 2001-04-03 住友精密工業株式会社 ウエーハ端面のエッチング方法とその装置
JP3205878B2 (ja) * 1991-10-22 2001-09-04 アネルバ株式会社 ドライエッチング装置
JPH07142449A (ja) 1993-11-22 1995-06-02 Kawasaki Steel Corp プラズマエッチング装置
JP3521587B2 (ja) * 1995-02-07 2004-04-19 セイコーエプソン株式会社 基板周縁の不要物除去方法及び装置並びにそれを用いた塗布方法
DE19622015A1 (de) * 1996-05-31 1997-12-04 Siemens Ag Verfahren zum Ätzen von Zerstörungszonen an einem Halbleitersubstratrand sowie Ätzanlage
JP2000186000A (ja) * 1998-12-22 2000-07-04 Speedfam-Ipec Co Ltd シリコンウェーハ加工方法およびその装置
US6436303B1 (en) * 1999-07-21 2002-08-20 Applied Materials, Inc. Film removal employing a remote plasma source
JP2002334862A (ja) * 2001-05-10 2002-11-22 Mitsubishi Electric Corp 半導体装置の製造方法およびその製造方法に用いる半導体基板の洗浄装置
KR20030002241A (ko) 2001-06-30 2003-01-08 주식회사 하이닉스반도체 웨이퍼 에지 세정 장치
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
KR100442194B1 (ko) * 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
US6837967B1 (en) * 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US20040137745A1 (en) * 2003-01-10 2004-07-15 International Business Machines Corporation Method and apparatus for removing backside edge polymer
WO2004100247A1 (ja) * 2003-05-12 2004-11-18 Sosul Co., Ltd. プラズマエッチングチャンバーと、これを用いたプラズマエッチングシステム
KR100585089B1 (ko) * 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
US7658816B2 (en) * 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US20050189068A1 (en) * 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
KR100532354B1 (ko) * 2004-05-31 2005-11-30 삼성전자주식회사 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법
JP4502199B2 (ja) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
KR100696955B1 (ko) 2004-10-28 2007-03-20 주식회사 하이닉스반도체 웨이퍼 에지의 베벨 식각 장치 및 그를 이용한 베벨 식각방법
US7837825B2 (en) 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
KR20070001493A (ko) 2005-06-29 2007-01-04 주식회사 하이닉스반도체 웨이퍼 베벨 식각용 디에프브이 장치
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US8475624B2 (en) * 2005-09-27 2013-07-02 Lam Research Corporation Method and system for distributing gas for a bevel edge etcher
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
KR101249247B1 (ko) 2005-12-21 2013-04-01 참엔지니어링(주) 플라즈마 에칭 챔버
US7938931B2 (en) * 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
KR101346081B1 (ko) 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
US7718542B2 (en) * 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US20080156772A1 (en) * 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
US8580078B2 (en) * 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US7858898B2 (en) * 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
JP2009026585A (ja) * 2007-07-19 2009-02-05 Yokowo Co Ltd ライトアングルタイプのスプリングコネクタ

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001527285A (ja) 1997-12-19 2001-12-25 ラム リサーチ コーポレーション フォーカスリングおよびそのための方法
JP2005539397A (ja) 2002-09-18 2005-12-22 ラム リサーチ コーポレーション プラズマ処理チャンバー内におけるエッジリング磨耗の補償のための方法および装置
KR20060016280A (ko) * 2004-08-17 2006-02-22 주식회사 하이닉스반도체 플라즈마를 이용한 웨이퍼 에지 세정 장치

Also Published As

Publication number Publication date
JP5248525B2 (ja) 2013-07-31
TW200845188A (en) 2008-11-16
US9053925B2 (en) 2015-06-09
US20110214687A1 (en) 2011-09-08
US7943007B2 (en) 2011-05-17
SG2014013858A (en) 2014-07-30
US20080182412A1 (en) 2008-07-31
CN101589457B (zh) 2011-08-03
SG178004A1 (en) 2012-02-28
JP2010517296A (ja) 2010-05-20
TWI416621B (zh) 2013-11-21
KR20090110852A (ko) 2009-10-22
CN101589457A (zh) 2009-11-25
WO2008091667A1 (en) 2008-07-31

Similar Documents

Publication Publication Date Title
KR101445416B1 (ko) 구성가능한 베벨 에처
US10832923B2 (en) Lower plasma-exclusion-zone rings for a bevel etcher
KR102496625B1 (ko) 베벨 에처용 튜닝가능한 상부 플라즈마―배제―존 링
KR101433411B1 (ko) 갭이 제어되는 베벨 에처
US8854790B1 (en) Electrostatic chuck assembly

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170911

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180905

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190910

Year of fee payment: 6