KR101433411B1 - 갭이 제어되는 베벨 에처 - Google Patents

갭이 제어되는 베벨 에처 Download PDF

Info

Publication number
KR101433411B1
KR101433411B1 KR1020097017727A KR20097017727A KR101433411B1 KR 101433411 B1 KR101433411 B1 KR 101433411B1 KR 1020097017727 A KR1020097017727 A KR 1020097017727A KR 20097017727 A KR20097017727 A KR 20097017727A KR 101433411 B1 KR101433411 B1 KR 101433411B1
Authority
KR
South Korea
Prior art keywords
electrode assembly
ring
upper electrode
lower electrode
dielectric
Prior art date
Application number
KR1020097017727A
Other languages
English (en)
Other versions
KR20090106636A (ko
Inventor
앤드류 디 3세 베일리
앨런 엠 쇠프
그레고리 섹스턴
안드라스 커티
윤상 김
윌리엄 에스 케네디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=39644816&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR101433411(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090106636A publication Critical patent/KR20090106636A/ko
Application granted granted Critical
Publication of KR101433411B1 publication Critical patent/KR101433411B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Abstract

반도체 기판의 베벨 에지를 세정하기 위한 디바이스. 이 디바이스는 기판을 지지하도록 구성되고 최상면을 갖는 하부 전극 어셈블리, 및 최상면에 대향된 저면을 갖는 상부 전극 어셈블리를 포함한다. 하부 전극 어셈블리 및 상부 전극 어셈블리는 동작 동안 최상면과 저면 사이에 배치된 기판의 베벨 에지를 세정하기 위한 플라즈마를 생성한다. 또한, 디바이스는 하부 지지부 위에 상부 전극 어셈블리를 매달고, 최상면에 대하여 저면의 수평 이송 및 틸트 각도를 조정하기 위한 메커니즘을 포함한다.
Figure R1020097017727
베벨 에처, 반도체 기판, 베벨 에지, 세정, 갭

Description

갭이 제어되는 베벨 에처{BEVEL ETCHER WITH GAP CONTROL}
발명의 배경
집적 회로들은 상부에 패터닝된 마이크로전자 층들이 형성되는 웨이퍼 또는 기판으로부터 형성된다. 기판의 프로세싱에서, 기판 상에 증착된 막들의 의도된 부분을 에칭하기 위해 플라즈마가 종종 이용된다. 통상적으로, 에칭 플라즈마 밀도는 기판의 에지 부근이 더 낮은데, 이는 기판 베벨 에지의 최상면 (top surface) 및 저면 (bottom surface) 상에 폴리-실리콘 층, 질화물 층, 금속 층 등 (일괄 부산물 층으로 지칭됨) 의 축적을 초래할 수도 있다. 몇몇 상이한 에칭 프로세스의 결과로서 연속적인 부산물 층들이 기판 베벨 에지의 최상면 및 저면 상에 증착되기 때문에, 부산물 층들과 기판 사이의 접착력이 결국 약해질 것이며, 부산물 층들은 기판 이송 동안 종종 다른 기판들 위에서 떼어지거나 벗겨져 이에 의해 다른 기판들을 오염시킬 수도 있을 것이다.
개요
일 실시형태에서, 반도체 기판을 플라즈마 세정하기 위한 베벨 에처는, 반도체의 베벨 에지가 플라즈마 세정되는 내부를 갖는 챔버, 최상면을 갖고 베벨 에지를 갖는 기판을 지지하도록 구성된 하부 전극 어셈블리, 최상면과 대향되고 공간-이격된 관계에 있어 그 안에 기판을 수용하기 위한 갭을 형성하는 저면을 포함하는 상부 전극 어셈블리, 및 상부 전극 어셈블리 및/또는 하부 전극 어셈블리를 지지하고 최상면에 대하여 저면의 틸트 각도 및/또는 수평 전이 (translation) 를 조정하도록 구성된 적어도 하나의 메커니즘을 포함하고, 하부 전극 어셈블리 및 상부 전극 어셈블리는 동작 동안 베벨 에지를 세정하기 위한 플라즈마를 생성하도록 동작된다.
다른 실시형태에서, 복수의 레벨링 스크류들을 포함하는 전술된 베벨 에처를 조립하는 방법은, 하부 전극 어셈블리를 레벨링하는 단계, 하부 전극 어셈블리 위에 상부 전극 어셈블리를 배치하는 단계, 상부 전극 어셈블리에 메커니즘을 고정시키는 단계, 및 레벨링 스크류들 중 적어도 하나를 회전시켜 최상면에 대하여 저면의 틸트 각도를 조정하는 단계를 포함한다.
다른 실시형태에서, 반도체 기판의 베벨 에지를 세정하는 방법은, 전술된 베벨 에처 내에 반도체 기판을 로딩하는 단계, 최상면과 저면 사이의 갭 안으로 프로세스 가스를 주입하는 단계, 및 프로세스 가스를 플라즈마로 에너자이징 (energizing) 하여 기판의 베벨 에지를 세정하는 단계를 포함한다.
도면의 간단한 설명
도 1a 는 베벨 에처의 개략적 단면도를 나타내고, 도 1b 는 도 1 a 의 영역 A 의 확대도를 나타내며, 도 1c 는 베벨 에처의 개략적 단면도를 나타낸다.
도 2 는 일 실시형태에 따른 베벨 에처의 개략적 단면도를 나타낸다.
도 3 은 도 2 의 영역 B 의 확대도를 나타낸다.
도 4 는 도 2 의 영역 C 의 확대도를 나타낸다.
도 5 는 하부 전극 어셈블리와 상부 전극 어셈블리를 정렬하기 위한 센터링 픽스쳐 (fixture) 를 나타내는 도 2 의 영역 B 의 확대도를 나타낸다.
도 6 은 다른 실시형태에 따른 베벨 에처의 개략적 단면도를 나타낸다.
도 7 은 또다른 실시형태에 따른 베벨 에처의 개략적 단면도를 나타낸다.
도 8 은 또다른 실시형태에 따른 베벨 에처의 개략적 단면도를 나타낸다.
도 9 는 도 2 의 베벨 에처를 조립하기 위한 예시적인 단계들을 나타내는 흐름도를 나타낸다.
상세한 설명
도 1a 는 베벨 에칭 챔버 또는 베벨 에처 (100) 의 개략적 단면도를 나타낸다. 도 1b 는 도 1a 의 영역 A 의 확대도를 나타낸다. 도시된 바와 같이, 반도체 (120) 는 상부 전극 어셈블리 (102) 와 하부 전극 어셈블리 (104) 사이에 개재되고, 기판 에지의 최상면 및 저면을 포함하는 베벨 에지 (122, 도 1b) 를 갖는다. 상부 전극 어셈블리 (102) 는 애노드 (108) 및 애노드 (108) 의 저면에 부착되거나 애노드 아래에 증착된 절연층 또는 절연체 (110) 를 포함한다. 절연체 (110) 는 베벨 에지 (122) 를 에칭하는 동안 애노드 (108) 와 기판 (120) 의 중심부 사이에 전기장 또는 전자기장의 형성을 방지한다. 하부 전극 어셈블리 (104) 는 무선 주파수 (RF) 전원에 커플링된 캐소드 (112), 기판 (120) 을 고정하기 위한 정전 척 (114), 및 정전 척 (114) 을 지지하기 위한 지지부 (116) 를 포함한다. RF 전원은 RF 전력을 제공하여 (하나 이상의 유출구 (106) 를 통해 주입된) 프로세스 가스를 플라즈마로 에너자이징하고, 이에 의해 베벨 에지 (122) 를 세정한다.
상부 전극 어셈블리 (102) 의 하부면 (lower surface) 은 하부 전극 어셈블리 (104) 의 상부면 (upper surface) 에 대해 각도
Figure 112009052130756-pct00001
만큼 틸팅 (tilting) 될 수도 있다. 유사하게, 상부 전극 및 하부 전극의 대향면들이 도 1a 의 평면으로부터 방위 90°내의 다른 각도만큼 틸팅될 수도 있다. 이하, 틸트 각도란 용어는 2 개의 각도를 일괄하여 지칭한다. 또한, 평면도 조정이란 용어는 틸트 각도를 조정하는 것을 지칭한다. 틸트 각도는 기판 (120) 의 원주 방향에서 플라즈마 불균일도를 야기할 수도 있고, 이는 베벨 에지의 불균일한 에칭을 가져올 수도 있다.
도 1c 는 베벨 에처 (130) 의 개략적 단면도를 나타내고, 여기서 라인들 (140, 142) 각각은 상부 전극 어셈블리 (132) 및 하부 전극 어셈블리 (134) 의 중심축을 나타낸다. 베벨 에처 (130) 의 컴포넌트들은 도 1a 에 도시된 컴포넌트들과 유사하다. 도시된 바와 같이, 상부 전극 어셈블리 (132) 는 x-방향에서 하부 전극 어셈블리 (134) 에 대해 오정렬될 수도 있다. 상부 전극 어셈블리 (132) 는 또한 y-방향에서 하부 전극 어셈블리 (134) 에 대해 오정렬될 수도 있다. 통상적으로, 기판 (136) 은 2 개의 전극 어셈블리 중 하나의 어셈블리, 바람직하게는 상부 전극 어셈블리 (132) 와 정렬된다. 축 오정렬은 기판 (136) 의 주위를 따라 하부 에지 배제 구역 (D) 의 범위 내에 불균일성을 야기할 수도 있다. 일반적으로, 베벨 에처는 도 1a 및 도 1c 에 도시된 틸트 각도 및 축 오정렬 양자 모두를 가질 수도 있다. 따라서, 베벨 에지의 균일한 에칭을 생성하기 위해 평면 도 조정 및 축 정렬을 위한 메커니즘을 갖는 에칭 챔버에 대한 필요성이 존재한다.
이제 도 2 를 참조하면, 일 실시형태에 따른 기판 (280) 의 베벨 에지를 세정하기 위한 기판 에칭 시스템 또는 베벨 에처 (200) 의 개략적 단면도가 도시된다. 베벨 에처 (200) 는, 하부 전극 어셈블리 (211); 기판 (280) 이 로딩/언로딩되는 도어 또는 게이트 (216) 를 갖는 상부 챔버 벽 (202a) 및 하부 챔버 벽 (202b); 수평으로 확장된 상부 금속 컴포넌트 (208) 및 그 상부 금속 컴포넌트 (208) 내의 리세스 (recess) 에 부착되거나 리세스에 고정된 상부 유전체판 (210) 을 갖는 상부 전극 어셈블리 (207); 바람직하게 패스너 (fastener, 도 2 에는 미도시) 에 의해 상부 전극 어셈블리 (207) 에 고정되고, 이에 의해 하부 전극 어셈블리 (211) 위에 상부 전극 어셈블리 (207) 를 매다는 수직으로 확장된 상부 전극 지지부 (218); 평면판 (222), 복수의 스크류 어셈블리 (238), 및 패스너 (266) 에 의해 판 (222) 과 상부 전극 지지부 (218) 사이에 고정된 원통부 (272) 를 갖는 조정 어셈블리 (220); 스크류 어셈블리 (238) 에 의해 평면판 (222) 에 고정된 어댑터판 (236); 패스너 (270) 에 의해 어댑터판 (236) 에 고정된 갭 드라이브 어셈블리 (224); 및 지지대 (218) 가 벽 (202a) 에 대하여 수직 운동을 갖는 것을 허용하면서 챔버 벽 (202a) 과 상부 전극 지지부 (218) 사이에 진공 밀봉 (vacuum seal) 을 형성하는 금속 벨로우즈 (250) 를 포함한다.
원통부 (272), 상부 전극 지지부 (218), 및 상부 금속 컴포넌트 (208) 는 이들 컴포넌트들을 함께 고정시키는 스크류 또는 패스너 (266) 를 수용하기 위한 복수의 홀을 갖는다. 상부 유전체판 (210) 은, 평면도 조정 어셈블리 (220), 상 부 전극 지지부 (218), 및 상부 금속 컴포넌트 (208) 가 상부 유전체판 (210) 에 고정되도록, 패스너 (266) 를 수용하기 위한 나사 홀을 포함한다. 게이트 (216) 를 통해 기판을 로딩/언로딩하기 위해, 갭 드라이브 어셈블리 (224) 는 어댑터판 (236), 평면도 조정 어셈블리 (220), 상부 전극 지지부 (218) 및 상부 전극 어셈블리 (207) 를 하나의 피스 (piece) 로서 수직 또는 z-방향으로 이동시킨다. 원통부 (272) 및 평면판 (222) 은 바람직하게 별개의 피스 또는 하나의 바디 (예를 들어, 알루미늄, 알루미늄 합금, 강철 등의 머시닝된 블록) 로서 통합적으로 형성된다. 일 변형에서, 평면도 조정 어셈블리 (220) 및 상부 전극 지지부 (218) 는 단일 바디 (예를 들어, 알루미늄, 알루미늄 합금, 강철 등의 머시닝된 블록) 로서 통합되어 형성될 수도 있다. 원통부 (272) 및 상부 전극 지지부 (218) 는, 플라즈마로 에너자이징될 각종 가스를 베벨 에지 부근에 제공하기 위해 중심 가스 공급부 또는 통로 (262) 및 하나 이상의 에지 가스 공급부 또는 통로 (260) 를 갖는다.
갭 드라이브 어셈블리 (224) 는, 어댑터판 (236) 을 지지하기 위한 상부 갭 드라이브판 (234); 원통부 (272) 의 대향측 상의 상부 갭 드라이브판 (234) 에 고정된 2 쌍의 탑재 블록 (232) 으로서, 각 탑재 블록은 지지부 (218) 및 원통부 (272) 의 수직 축에 대하여 경사진 (기울어진) 저면을 갖는, 2 쌍의 탑재 블록; 슬라이드 탑재 블록 (232) 의 경사진 저면과 접촉하는 블록 드라이빙판 (233) 을 갖는 슬라이딩 컴포넌트 (230); 슬라이딩 컴포넌트 (230) 에 커플링된 액츄에이터 (231); 모터 (226); 및 모터 (226) 및 액츄에이터 (231) 에 커플링되고 모터 (226) 의 회전 운동을 액츄에이터 (231) 및 슬라이딩 컴포넌트 (230) 의 수평 운동으로 변환하도록 동작하는 나사 로드 (228) 를 포함한다. 상부 전극 어셈블리 (207) 를 수직 방향으로 이동시키기 위해, 모터 (226) 는 나사 로드 (228) 를 회전시키는데, 이는 차례로 슬라이드 탑재 블록 (232) 에 대하여 블록 드라이빙 판 (233) 을 수평 방향으로 이동시킨다. 그 결과, 슬라이드 탑재 블록 (232) 은 그 저면 기울기로 인해 수직 방향으로 이동하고, 이에 의해 상부 갭 드라이브판 (234), 어댑터판 (236), 평면도 조정 어셈블리 (220), 상부 전극 지지부 (218), 및 상부 전극 어셈블리 (207) 가 하나의 유닛으로서 수직 방향으로 이동한다.
상부 금속 컴포넌트 (208) 는 중심 가스 공급부 (262) 에 커플링된 단차식 홀 및 에지 가스 공급부 (260) 에 커플링된 에지 가스 플레넘 (209) 을 포함한다. 상부 유전체판 (210) 은 전기적으로 접지된 상부 금속 컴포넌트 (208) 에 부착되고 알루미나와 같은 유전성 세라믹 재료로 형성된다. 상부 유전체판 (210) 은 선택적으로, Y2O3 의 코팅물질을 가질 수도 있다. 통상적으로, Al2O3 와 같은 몇몇 세라믹들에 깊은 직립 홀을 뚫는 것이 어려우므로, 깊은 직립 홀 대신에 단차식 홀 (276) 이 이용될 수 있다. 간략화를 위해, 상부 유전체판 (210) 은 하나의 중심홀을 갖는 것으로 도시된다. 그러나, 상부 유전체판 (210) 은 임의의 원하는 개수의 홀들, 예를 들어 샤워헤드 홀 패턴을 가질 수도 있다. 상부 유전체판 (210) 은 상부 전극 어셈블리 (207) 및 하부 전극 어셈블리 (211) 사이의 수직 갭을 측정하는 갭 센서 (274) 를 포함한다. 갭 센서 (274) 로부터 출력된 신호는 적절한 회로를 통해 모터 (226) 를 제어하는 모터 제어기 (도 2 에는 미도시) 로 송신되고, 이에 의해 수직 갭을 조정한다. 레이저 센서, 유도 센서, 용량성 센서, 음향 센서, 변위 측정 (linear variable differential transformer; LVDT) 센서와 같은 다른 유형의 인시츄 (in-situ) 검출기들이 갭 센서로서 이용될 수 있고, 센서의 유형에 따라 내측 또는 외측 챔버 벽 (202) 에 배치될 수 있다.
하부 전극 어셈블리 (211) 는, 동작 동안 RF 전력을 제공하기 위한 RF 전원 (261) 에 커플링된 전력공급 전극 (212); 하부 챔버 벽 (202b) 으로부터 전력공급 전극 (212) 을 전기적으로 절연시키기 위한 상부 부분 (264a) 및 하부 부분 (264b) 을 갖는 하부 유전체링 (264); 및 리프트 핀/핀 작동 유닛 (핀 유닛) 을 포함할 수도 있다.
중심 가스 공급부 (262) 및/또는 에지 가스 공급부 (260) 가 이용되어, 챔버 벽 (202) 의 내부 공간 (286) 으로 각종 가스를 제공한다. 동작 동안, 웨이퍼 베벨 에지 세정을 위해 일반적으로 환상 (annular) 영역 내의 기판 (280) 의 베벨 에지 주위에 플라즈마가 형성된다. 기판 (280) 의 중심부에 플라즈마가 도달하는 것을 방지하기 위해, 프로세스 가스는 중심 가스 공급부 (262) 를 통해 공급될 수 있다. 그 다음에, 이 가스는 기판 전체에 걸쳐 방사상으로 상부 전극 어셈블리 (207) 와 기판 (280) 사이의 갭을 통과한다. 에지 가스 및 중심 가스 공급부가 이용될 때, 각 가스 공급부는 버퍼 가스 및 퍼지 가스와 같은 동일한 프로세스 가스 또는 다른 가스를 제공할 수도 있다. 예를 들어, 버퍼 가스는 중심 가스 공급부 (262) 를 통해 주입될 수 있는 반면에, 프로세스 가스는 에지 가스 공 급부 (260) 를 통해 주입될 수 있다. 챔버 공간 (286) 내의 플라즈마/프로세스 가스는 복수의 홀들 (284) 을 통해 하부 공간 (282) 및, 거기서부터 진공 펌프 (268) 로 회수된다.
도 3 은 도 2 의 영역 B 의 확대된 개략도를 나타낸다. 도시된 바와 같이, 상부 전극 어셈블리 (207) 는, 3 개의 동심으로 배치된 링들; 내측의 상부 구성가능 플라즈마 배제 영역 (PEZ) 링 (318); 중간의 상부 전극 링 (320); 및 외측의 상부 유전체 링 (322) 를 포함한다. 이하, PEZ 란 용어는, 기판의 중심으로부터 베벨 에지 세정을 위한 플라즈마가 배제되는 영역의 외측 에지까지의 반경 거리를 지칭한다. 상부 구성가능 PEZ 링 (318) 과 상부 전극 링 (320) 사이의 갭 (340) 은, 스루홀 (302) 에 의해 형성된 에지 가스 통로에 접속되는 완곡한 가스 통로를 형성한다. 에지 가스 통로 (302) 는 에지 가스 플레넘 (209) 에 커플링된다 (도 2). 완곡한 갭 (tortuous gap; 340) 은 에지 가스 통로 (302) 가 플라즈마에 직접 노출되는 것을 방지하고, 이에 의해 에지 가스 통로 (302) 내에서 제 2 플라즈마 또는 플라즈마 라이트-업 (light-up) 의 형성을 방지한다. 그렇지 않으면, 제 2 플라즈마는 에지 가스 통로 (302) 의 내벽을 부식시키고, 이에 의해 상부 금속 컴포넌트 (208) 의 빈번한 대체를 필요로하고 그리고 부식된 재료의 오염물이 기판 (280) 으로 도입된다.
상부 구성가능 PEZ 링 (318) 은 하방으로 연장된 돌출부 (318a) 의 대향측 상에 형성된 내측 리세스 및 외측 리세스를 구비하고, 내측 리세스는 상부 유전체판 (210) 의 외측 돌출부 (21Oa) 상에 상부 구성가능 PEZ 링 (318) 을 탑재하는데 이용된다. 상부 PEZ 링 (318) 은 상이한 구성을 가질 수 있고, 기판 위에 상이한 플라즈마 배제 구역을 제공할 수 있다. 상부 PEZ 링 (318) 은 상이하게 구성된 PEZ 링으로 대체될 수 있다. 플라즈마 부식으로 인해, PEZ 링 (318) 은 또한 상부 전극 어셈블리 (207) 의 다른 부분 보다 더 자주 대체될 필요가 있으므로, 소모성 컴포넌트이다. 통상적으로, 프로세스 가스는 O2 와 같은 산소-함유 가스를 포함할 수도 있다. 체적당 10 % 미만과 같은 적은 양의 플루오린-함유 가스 (예를 들어, CF4, SF6, 또는 C2F6) 가 또한 베벨 에지를 세정하기 위해 추가될 수도 있다. 이들 반응 가스를 함유한 플라즈마는 상부 구성가능 PEZ 링 (318) 을 부식시켜, 상부 구성가능 PEZ 링 (318) 의 빈번한 대체를 초래할 수도 있다. 대체 동안 상부 구성가능 PEZ 링 (318) 에 대한 용이한 액세스를 위해, 상부 구성가능 PEZ 링 (318) 은 상부 유전체판 (210) 에 의해 고정되고 챔버 벽 (202) 으로부터 상부 전극 어셈블리 (207) 의 다른 부분을 제거하지 않고 대체될 수 있다.
상부 구성가능 PEZ 링 (318) 은 바람직하게, 상부 유전체판 (210) 의 플라즈마 부식을 최소화한다. 상부 구성가능 PEZ 링 (318) 은 바람직하게, 링 전체적으로 알루미늄 옥사이드 (Al2O3), 알루미늄 나이트라이드 (AlN), 실리콘 옥사이드 (SiO2), 실리콘 카바이드 (SiC), 실리콘 나이트라이드 (Si3N4), 실리콘 (Si), 산화 이트륨 (Y2O3) 또는 다른 재료들과 같은 전기적으로 도전성, 반도전성 또는 유전성 재료로 형성되고, 또는 지지 링 (124) 은 베벨 에지 세정 동작 동안 기판 (280) 의 오염을 감소시키기 위해 금속, Si, SiC 또는 Y2O3 와 같은 도전성 또는 유전성 재료로 코팅된 세라믹 또는 폴리머 (예를 들어, 세라믹 (바람직하게 Al2O3)), 또는 SiC 와 같은 순수한 재료의 합성 링일 수 있다. 상부 구성가능 PEZ 링 (318) 은 또한 높은 전기 저항, 바람직하게 비제한적으로 적어도 약 ~105 ohm-cm 를 갖는 재료로 형성될 수도 있다. 전력공급 전극 (212) 과 상부 전극 링 (320) 사이의 전기적 커플링은 상부 구성가능 PEZ 링 (318) 의 전기적 특성에 의해 영향을 받기 때문에, 플라즈마 특징은 상부 구성가능 PEZ 링 (318) 용 재료의 선택에 의해 제어될 수 있다.
상부 전극 링 (320) 은 상부 금속 컴포넌트 (208) 와 접촉되고 이를 통해 접지된다. 볼트와 같은 결속 메커니즘 (fastening mechanism) 을 이용하는 대신에, 상부 전극 링 (320) 은 바람직하게 외측 상부 유전체 링 (322) 의 클램핑 힘에 의해 제 자리에 고정된다. 예를 들어, 링 (322) 의 내부를 향해 연장된 플랜지 (322a) 는 링 (320) 의 외부를 향해 연장된 플랜지 (320a) 아래에 들어맞을 수 있다. 상부 전극 링 (320) 은 양극처리된 알루미늄과 같은 금속으로 형성될 수도 있다. 알루미늄 오염을 방지하기 위한 경우에, 상부 전극 링 (320) 은 순수한 Si, CVD SiC 또는 임의의 적절한 고-순도 도전성 재료로 이루어질 수도 있다. 클램핑 장치는, 클램핑 장치가 상부 전극 링 (320) 의 단면 구성을 간단하게 하고, 이에 의해 소모 비용 (Cost-of-Consumable; CoC) 을 낮추는 볼트-관통 (bolt-through) 설계를 통한 이점을 제공하고, 오염 제어를 위해 광범위한 재료의 이용을 허용한다. 상부 전극 링 (320) 의 저면은 바람직하게 상부 유전체판 (210) 의 저면 위에 수직으로 오프셋된다. 일 변형에서, 상부 전극 링 (320) 의 내측 및 외측 에지는 더 외부를 향해 확장될 수도 있고/있거나, 상부 전극 링 (320) 의 저면은 판 (210) 의 저면과 동일평면 상에 있거나 그 아래에 배치될 수도 있다.
외측 상부 유전체 링 (322) 은 바람직하게, Al2O3 와 같은 유전성 재료로 형성되고, Y2O3 로 코팅될 수도 있다. 외측 상부 유전체 링 (322) 은 원주상으로 이격된 나사 홀 (324) 을 포함하여, 상부 금속 컴포넌트 (208) 에 외측 상부 유전체 링 (322) 을 고정하기 위한 볼트 (326) 를 수용한다. 외측 상부 유전체 링 (322) 은, 상부 전극 링 (320) 을 상부 금속 컴포넌트 (208) 에 클램핑하는데 이용되는 플랜지 (322a) 를 포함한다. 볼트들이 플라즈마에 노출되지 않도록 각 볼트 (326) 는 상부 전극 어셈블리 (207) 의 최상측으로부터 죄어진다. 외측 상부 유전체 링 (322) 의 내측 에지 직경은 베벨 에지를 세정하기 위한 링 또는 도넛 형상의 플라즈마의 외경을 결정한다.
하부 전극 어셈블리 (211) 는 하부 금속 고리 (collar; 312) 및 3 개의 동심으로 배치된 링들: 하부 구성가능 PEZ 링 (306); 하부 전극 링 또는 후프 (hoop) 링 (308); 및 외측 하부 유전체 링 (310) 을 포함한다. 기판 (280) 은 하부 구성가능 PEZ 링 (306) 의 최상면 상에 탑재된다. 전력공급 전극 (212) 의 최상면, 기판 (280) 의 저면, 및 하부 구성가능 PEZ 링 (306) 은 얕은 진공 영역 리세스 (진공 영역, 330) 를 형성하고, 여기서 진공 펌프가 기판 아래의 진공 영역을 배기한다. 예를 들어, 전극 (212) 내의 리프트 핀 홀은 진공 펌프와 유체 소통될 수 있다. 이와 같이, 전력공급 전극 (212) 은 세정 동작 동안 기판 (280) 을 제자리에 고정하는 진공 척으로서 기능한다.
하부 구성가능 PEZ 링 (306), 하부 전극 링 (308), 및 하부 금속 고리 (312) 는 하부 유전체 링 또는 포커스 링 (264) 에 (보다 구체적으로, 하부 유전체 링의 상부 부분 (264a) 상에) 의해 지지된다. 하부 전극 링 (308) 은 외측 하부 유전체 링 (310) 에 의해 하부 금속 고리 (312) 에 클램핑되고, 여기서 하부 금속 고리 (312) 는 접지를 위해 하부 챔버 벽 (202b) 에 접속된다. 예를 들어, 유전체 링 (310) 상의 내부를 향하여 확장된 플랜지 (310a) 는 전극 링 (308) 상의 외부를 향하여 확장된 플랜지 (308a) 위에 있고 이에 대해 클램핑 가능하다. 포커스 링 (264) 은 전력공급 전극 (212) 을 하부 전극 링 (308) 및 하부 금속 고리 (312) 로부터 전기적으로 절연시킨다. 도시된 실시형태에서, 고리 (312) 는 포커스 링 (264a) 과 짝을 이루는 단차식 내측면 및 유전체 링 (310) 과 짝을 이루는 단차식 외측면을 포함한다.
전력공급 전극 (212) 은 바람직하게, 양극처리된 알루미늄과 같은 금속으로 형성된다. PEZ 링 (306) 없이, 전력공급 전극 (212) 은 플라즈마에 노출되고 플라즈마에 의해 부식될 수 있으며, 고 청결도 플라즈마가 필요한 경우 전극은 청결도 요건을 충족시키기 위해 값비싼 재료로 형성될 것이다. 반대로, 하부 구성가능 PEZ 링 (306) 이 플라즈마로부터 전력공급 전극 (212) 을 차폐하기 때문에, 전력공급 전극 (212) 은 청결도 요건에 관계 없이 덜 비싼 전극 재료들로 형성될 수 있다.
하부 구성가능 PEZ 링 (306) 은 내측 플랜지 (306a) 및 외측 플랜지 (306b) 를 구비하고, 내측 플랜지 (306a) 는 전력공급 전극 (212) 의 외측 상부 에지 및 포커스 링 (264) 의 상부 부분 (264a) 상의 리세스 상에 하부 구성가능 PEZ 링 (306) 을 탑재하는데 이용된다. 하부 구성가능 PEZ 링 (306) 은 상이한 하부 플라즈마 배제 구역을 제공하도록 상이하게 구성된 링들로 대체될 수 있다. 하부 구성가능 PEZ 링 (306) 은 또한, 플라즈마 부식으로 인해 하부 전극 어셈블리 (211) 의 다른 부분들보다 더 자주 대체될 필요가 있을 수도 있고, 소모성 컴포넌트로서 간주될 수 있다. 대체 동안 하부 구성가능 PEZ 링 (306) 에 대한 용이한 액세스를 위해, 하부 구성가능 PEZ 링 (306) 은 바람직하게 전력공급 전극 (212) 의 상부면 (212a) 및 포커스 링 (264) 의 상부면 (264c) 위에 있는 플랜지 (306a) 와 함께 탑재되고, 챔버 벽 (202) 으로부터 하부 전극 어셈블리 (211) 의 다른 부분을 제거하지 않고 대체될 수 있다.
하부 구성가능 PEZ 링 (306) 은 바람직하게, 플라즈마가 전력공급 전극 (212) 을 부식시키는 것을 최소화한다. 하부 구성가능 PEZ 링 (306) 은 바람직하게, 링 전체적으로 알루미늄 옥사이드 (Al2O3), 알루미늄 나이트라이드 (AlN), 실리콘 옥사이드 (SiO2), 실리콘 카바이드 (SiC), 실리콘 나이트라이드 (Si3N4), 실리콘 (Si), 산화이트륨 (Y2O3) 또는 다른 재료와 같은 전기적으로 도전성, 반도전성 또는 유전성 재료로 형성되고, 또는 지지링 (124) 은 동작 동안 기판 (280) 의 오 염을 감소시키기 위해 금속, Si, SiC 또는 Y2O3 와 같은 도전성 또는 유전성 재료로 코팅된 세라믹이나 폴리머 (예를 들어, 세라믹 (바람직하게 Al2O3)), 또는 CVD SiC 와 같은 순수한 재료의 합성물일 수 있다. 하부 구성가능 PEZ 링 (306) 은 또한, 높은 전기 저항, 바람직하게 비제한적으로 적어도 약 ~105 ohm-cm 를 갖는 재료로 형성될 수도 있다. 전력공급 전극 (212) 과 하부 전극 링 (308) 사이의 전기적 커플링은 하부 구성가능 PEZ 링 (306) 의 전기적 특성에 의해 영향을 받기 때문에, 플라즈마 특징은 하부 구성가능 PEZ 링 (306) 의 재료를 변화시킴으로써 제어될 수 있다.
하부 전극 링 (308) 은 하부 금속 고리 (312) 에 접속되고 이를 통해 접지된다. 볼트와 같은 결속 메커니즘을 이용하는 대신에, 하부 전극 링 (308) 은 바람직하게 외측 하부 유전체 링 (310) 의 클램핑 힘에 의해 제 자리에 고정된다. 하부 전극 링 (308) 은 양극처리된 알루미늄과 같은 금속으로 형성될 수 있다. 기판의 오염이 최소화되어야 하는 경우에, 하부 전극 링 (308) 은 순수 Si, CVD SiC 또는 임의의 적합한 고-순도 도전성 재료로 형성될 수도 있다. 클램프-인-플레이스 (clamp-in-place) 설계는 하부 전극 링 (308) 의 단면 구성을 간단하게 할 수 있고, 이에 의해 CoC 를 낮추고 오염 제어를 위해 광범위한 재료들의 이용을 허용한다.
외측 하부 유전체 링 (310) 은 바람직하게 Al2O3 와 같은 유전성 재료로 형성되고, Y2O3 로 코팅될 수도 있다. 외측 하부 유전체 링 (310) 은 나사 홀 (314) 을 포함하여, 하부 금속 라이너 (312) 에 외측 하부 유전체 링 (310) 을 고정하기 위한 볼트 (316) 를 수용한다. 전술된 바와 같이, 외측 하부 유전체 링 (310) 은 하부 금속 고리 (312) 에 하부 전극 링 (308) 을 클램핑하는데 이용되는 플랜지를 포함한다.
도 4 는 도 2 의 영역 C 의 확대도이다. 도시된 바와 같이, 어댑터판 (236) 은 상부 갭 드라이브판 (234) 상에 배치되고, 패스너 (270) 에 의해 상부 갭 드라이브판 (234) 에 고정된다. 상부 전극 어셈블리 (207) 와 하부 전극 어셈블리 (211) 사이의 수직 축 오정렬을 보상하기 위해, 어댑터판 (236) 은 패스너 (270) 가 느슨해진 상태에서 상부 갭 드라이브판 (234) 상에 부동-탑재된다 (float-mounted). 그 다음에, 평면도 조정 어셈블리 (220), 상부 전극 지지부 (218) 및 상부 전극 어셈블리 (207) 와 하나의 피스로서 이동하는 어댑터판 (236) 은 x-방향 및 y-방향으로 이동되어, 상부 전극 어셈블리 (207) 를 하부 전극 어셈블리 (211) 에 정렬시킨다. 정렬의 완료 시, 패스너 (270) 를 단단히 함으로써 어댑터판 (236) 이 상부 갭 드라이브 판 (234) 에 고정된다.
베벨 에처 (200) 는 바람직하게, 비제한적으로 3 개의 레벨링 스크류 어셈블리 (238) 를 포함한다. 각 스크류 어셈블리 (238) 는, 평면판 (222) 의 내측 나사들로 채워진 나사들 및 스크류의 회전 각도의 정밀한 판독을 위한 버니어 스케일 (Vernier scale) 을 갖는 레벨링 스크류 (404); 어댑터판 (236) 과 평면판 (222) 사이의 틸팅된 갭을 조정하기 위한 하부 구형 와셔 (408); 어댑터판 (236) 의 내측 나사들로 채워진 나사들을 갖는 록 볼트 (406); 및 레벨링 스크류 (404) 와 록 볼트 (406) 사이의 틸팅된 갭을 조정하기 위한 상부 구형 와셔 (402) 를 포함한다. 하부 전극 어셈블리 (211) 에 대하여 상부 전극 어셈블리 (207) 의 틸트 각도를 처리하기 위해, 평면판 (222) 은 록 볼트 (406) 가 느슨해진 상태에서 어댑터판 (236) 상에 탑재된다. 그 다음, 3 개의 레벨링 스크류 (404) 가 회전되어 틸트 각도를 조정한다. 이어서, 록 볼트 (406) 가 죄어져 평면판 (222) 을 어댑터판 (236) 에 고정한다.
도 5 는 도 2 의 영역 B 의 확대된 부분이고, 상부 전극 어셈블리 (207) 를 하부 전극 어셈블리 (211) 에 정렬시키기 위한 센터링 픽스쳐 (506) 를 나타낸다. 베벨 에처 조립 동안, 센터링 픽스쳐 (506) 는 상부 유전체판 (210) 대신에 이용된다. 베벨 에처 (200) 를 조립하기 위한 단계들의 더 상세한 설명은 도 9 를 참조하여 주어진다. 도 5 에 도시된 바와 같이, 센터링 픽스쳐 (506) 는 그 하부 에지 주위에 형성된 환상의 돌출부 (510) 를 포함한다. 링-형상의 돌출부 (510) 의 내경과 전력공급 전극 (212) 의 외경 사이의 허용 오차가 최소화되어, 하부 전극 어셈블리 (211) 와 상부 전극 어셈블리의 x-방향 및 y-방향에서의 정밀한 정렬을 획득한다. 센터링 픽스쳐 (506) 의 외경은 상부 유전체판 (210) 의 외경과 동일하므로, 센터링 픽스쳐 (506) 는 베벨 에처 (200) 를 조립하는 동안 상부 유전체판 (210) 대신에 배치된다.
센터링 픽스쳐 (506) 는 홀 또는 경로 (504) 와 정렬될 적어도 하나의 단차식 홀 (508) 을 포함하므로, 센터링 픽스쳐 (506) 는 하부 전극 어셈블리 (211) 에 대하여 z-축을 따라 정밀한 각도 오리엔테이션을 갖는다. 홀 (508) 과 홀 (504) 을 정렬시키기 위해, 착탈식 정렬 핀 (502) 이 홀 (508) 안으로 삽입되고, 여기서 핀 (502) 의 하부 팁 부분은 홀 (504) 의 상부 안으로 연장된다. 도 3 을 참조하여 설명된 바와 같이, 리프트 핀은 홀 (504) 을 통해 상하 이동하고, 각 홀 (504) 은 가스 통로로서 기능하고, 이 통로를 통해 진공 펌프가 진공 영역 (330) 을 배기한다. 전력공급 전극 (212) 은 진공 척으로서 동작하고 기판 (280) 의 최상면과 저면 사이의 압력차에 의해 기판 (280) 을 제 자리에 고정시킨다 (도 3). 일 변형으로서, 하부 전극 어셈블리 (211) 는 진공 척 대신에 정전 척을 포함할 수 있다. 이 경우, 정전 척은 진공 영역 (330) 의 로케이션에 배치되고, 세정 동작 동안 기판을 제 자리에 고정시킨다.
도 6 은 다른 실시형태에 따른 베벨 에처 (600) 의 개략적 단면도를 나타낸다. 베벨 에처 (600) 의 컴포넌트는 도 2 에 도시된 것과 유사하다. 차이점은, 하부 전극 어셈블리 (606) 가, 기판 지지부 (612); 기판 지지부 (612) 상에 배치된 유전체판 또는 층 (610); 및 RF 전력을 공급하기 위해 RF 전원 (614) 에 커플링되어 프로세스 가스를 베벨 에지 세정을 위한 플라즈마로 에너자이징하는 하부 전극 링 (616) 을 포함한다는 것이다. 기판 지지부 (612) 는 도전성 재료 또는 유전성 재료로 형성될 수 있다. 기판 지지부 (612) 는 진공 척으로서 동작하고 핀 유닛을 포함한다. 간략함을 위해, 핀 유닛은 도 6 에 도시되지 않는다. 일 변형으로서, 베벨 에처 (600) 는 기판 지지부 (612) 상에 배치된 정전 척을 포함할 수도 있다. 본 실시형태에서, 상부 전극 어셈블리 (604) 및 상부 전극 지지부 (602) 각각은 도 2 의 상부 전극 어셈블리 (207) 및 상부 전극 지지부 (218) 와 유사할 수도 있다. 다른 변형에서, 상부 전극 링 (618) 은 RF 전원에 커플링될 수도 있는 한편, 하부 전극 링 (616) 은 접지될 수도 있다.
베벨 에처 (600) 는 갭 드라이브 어셈블리, 평면도 어셈블리, 및 금속 벨로우즈를 포함하고 이들은 도 2 에 도시된 것과 유사하다. 간략함을 위해, 이들 컴포넌트는 도 6 에 도시되지 않는다.
도 7 은 또다른 실시형태에 따른 베벨 에처 (700) 의 개략적 단면도를 나타낸다. 도 7 에 도시된 바와 같이, 베벨 에처 (700) 는, 기판 (710) 이 로딩/언로딩되는 개구 또는 게이트 (704) 를 갖는 벽 (702); 기판 (710) 을 지지하는 기판 지지부 (722); 상부 금속 컴포넌트 (720); 및 상부 금속 컴포넌트 (720) 에 부착되고 가스 공급부 (740) 에 커플링되며 기판 지지부 (722) 에 대향되는 가스 분배 판 (718) 을 포함한다. 베벨 에처 (700) 는 또한, 알루미늄과 같은 도전성 재료로 이루어진 하부 에지 전극 또는 하부 전극 링 (728); 하부 유전체 링 (726); 상부 에지 전극 또는 상부 전극 링 (714); 상부 유전체 링 (716); 및 베벨 에지를 세정하도록 플라즈마를 생성하는 중공 (hollow) 캐소드 링 (732) 을 포함한다. 하부 유전체 링 (726) 은 기판 지지부 (722) 와 하부 에지 전극 (728) 사이에 개재되고, 기판 지지부 및 하부 에지 전극을 전기적으로 절연시킨다. 유사하게, 상부 유전체 링 (716) 은 가스 분배 판 (718) 과 상부 에지 전극 (714) 사이에 개재되고, 가스 분배 판 및 상부 에지 전극을 전기적으로 분리한다.
상부 에지 전극 (714) 및 하부 에지 전극 (728) 밖에는 상부 절연 링 (712) 및 하부 절연 링 (724) 이 존재하는데, 이 절연 링들은 유전체 재료로 이루어지며 기판 (710) 과 대향되는 상부 에지 전극 (714) 및 하부 에지 전극 (728) 의 표면을 각각 확장한다. 하부 유전체 링 (726) 은 위에서 볼 때 원형 또는 직사각형의 구성을 갖고, 하지만 이에 한정되는 것은 아니다. 유사하게, 기판 지지부 (722) 의 외측 에지, 하부 에지 전극 (728), 및 하부 절연 링 (724) 은 위에서 볼 때 원형 또는 직사각형 구성을 갖고, 하지만 이에 한정되는 것은 아니다. 유사하게, 상부 절연 링 (712), 상부 에지 전극 (714), 상부 유전체 링 (716), 및 가스 분배 판 (718) 의 외측 에지는 위에서 볼 때 원형 또는 직사각형 구성을 갖고, 하지만 이에 한정되는 것은 아니다. 가스 분배 판 (718) 은 바람직하게, 유전성 재료로 형성된다.
기판 지지부 (722) 의 최상면, 기판 (710) 의 저면, 및 하부 유전체 링 (726) 의 상위 돌출부는 진공 영역 (330, 도 3) 과 유사한 밀봉된 진공 영역을 형성하고, 여기서 기판 지지부 (722) 는 진공 척으로서 동작한다. 기판 지지부 (722) 는 앞서 논의된 바와 같이 핀 유닛을 포함한다. 일 변형에서, 베벨 에처 (700) 는 기판 지지부 (722) 상에 배치된 정전 척을 포함할 수도 있다. 다른 변형에서, 상부 전극 어셈블리 (706) 및 하부 전극 어셈블리 (708) 는 도 2 에 도시된 바와 동일할 수도 있다. 또다른 변형에서, 기판 지지부 (722) 는 그 최상면 상에 형성된 유전체층을 갖는 도전성 재료로 이루어질 수도 있다. 또다른 변형에서, 기판 지지부 (722) 의 전체 부분이 유전성 재료로 형성될 수도 있다.
알루미늄과 같은 도전성 재료로 이루어진 중공 캐소드 링 (732) 은 절연 링들 (712, 724) 바깥쪽에 배치된다. 중공 캐소드 링 (732) 은 베벨 에지를 면하 는 채널 (734) 을 갖는다. 바람직하게, 채널 (734) 의 폭은 예를 들어 약 1.5 cm 보다 크다. 중공 캐소드 링 (732) 은 기판 (710) 을 로딩/언로딩하는 동안 적절한 디바이스 (도 7 에는 미도시) 에 의해 수직 방향으로 이동된다.
중공 캐소드 링 (732) 은 RF 전원 (730) 에 커플링되고, 상부 에지 전극 (714) 및 하부 에지 전극 (728) 양자 모두는 접지된다. RF 전원은 예를 들어, ~2 MHz 내지 ~13 MHz 주파수 범위 내의 RF 전력을 공급한다. 일 변형에서, 상부 에지 전극 (714) 은 RF 전원에 커플링되는 한편, 하부 에지 전극 (728) 및 중공 캐소드 링 (732) 은 접지된다. 다른 변형에서, 하부 에지 전극 (728) 은 RF 전원에 커플링되는 한편, 상부 에지 전극 (714) 및 중공 캐소드 링 (732) 은 접지된다.
용량성 결합된 플라즈마는 또한, 챔버 벽 (702) 의 내부를 세정하는데 이용될 수 있다. 내부 세정을 위한 플라즈마를 생성하기 위해, 예를 들어 ~27 MHz 내지 ~60 MHz 주파수 범위를 갖는 고-주파수 RF 전력이 요구될 수도 있다. 도 7 의 실시형태의 변형에 있어서, 상부 에지 전극 (714) 은 저-주파수 (~2 MHz 내지 ~13 MHz) RF 전원에 커플링되는 한편, 하부 에지 전극 (728) 은 고-주파수 RF 전원에 커플링되고 중공 캐소드 링 (732) 은 접지된다. 다른 변형에서, 상부 에지 전극 (714) 은 고-주파수 RF 전력에 커플링되는 한편, 하부 에지 전극 (728) 은 저-주파수 RF 전원에 커플링되고 중공 캐소드 링 (732) 은 접지된다. 또다른 변형에서, 상부 에지 전극 (714) 및 중공 캐소드 링 (732) 은 접지되는 한편, 하부 에지 전극 (728) 은 저-주파수 및 고-주파수 RF 전원 양자 모두에 커플링된다.
베벨 에처 (700) 는 도 2 와 유사한 상부 전극 지지부 (701), 갭 드라이브 어셈블리, 평면도 조정 어셈블리, 및 금속 벨로우즈를 포함한다. 간략함을 위해, 이들 컴포넌트는 도 7 에 도시되지 않는다.
도 8 은 다른 실시형태에 따른 베벨 에처 (800) 의 개략적 단면도를 나타낸다. 베벨 에처 (800) 의 컴포넌트는 도 7 과 유사하다. 한 가지 차이점은, 유도 코일(들) (818) 이 기판 에지, 및 상부 에지 전극 (810) 과 하부 에지 전극 (816) 사이의 공간을 둘러싼다는 것이다. 유도 코일 (818) 은 유전체 지지부 (812) 에 커플링되는 유전성 재료 (814) 내에 임베딩된다. 유전체 지지부 (812) 는 기판 (808) 을 로딩/언로딩하는 동안 유전성 재료 (814) 및 코일 (818) 을 수직 방향으로 이동시키는 적절한 메커니즘 (도 8 에 미도시) 을 포함한다.
유도 코일 (818) 은 RF 전원 (806) 에 커플링된다. 베벨 에지 세정 프로세스 동안, RF 전원 (806) 은 ~2 MHz 내지 ~13 MHz 범위 내의 RF 전력을 공급하여, 기판 에지 부근에 유도성 플라즈마를 생성하며, 하지만 이에 한정되는 것은 아니다. 상부 에지 전극 (810) 및 하부 에지 전극 (816) 은 접지되어, 유도성 플라즈마를 위한 리턴 경로를 제공한다. 유도 코일 (818) 은 베벨 에지를 세정하기 위한 세정 플라즈마를 제공한다. 일 변형에서, 유도 코일 (818) 은 또한, 고-주파수 RF 전원에 커플링되어, 챔버 벽 (802) 의 내부를 세정하기 위한 플라즈마를 생성한다.
베벨 에처 (800) 는 도 2 와 유사한 상부 전극 지지부 (804), 갭 드라이브 어셈블리, 평면도 조정 어셈블리, 및 금속 벨로우즈를 포함한다. 간략함을 위 해, 이들 컴포넌트는 도 8 에 도시되지 않는다. 또한, 베벨 에처 (800) 는 도 7 과 유사한 변형을 갖는다. 예를 들어, 상부 에지 전극 (810) 및 하부 에지 전극 (816) 은 벽 (802) 의 내부를 세정하기 위한 플라즈마를 생성하는데 이용될 수 있다. 상부 에지 전극 (810) 은 고-주파수 (~27 MHz 내지 ~60 MHz) RF 전원에 커플링될 수 있는 한편, 하부 에지 전극 (816) 은 접지된다. 다른 경우, 상부 에지 전극 (810) 이 접지되는 한편, 하부 에지 전극 (816) 은 고-주파수 RF 전원에 커플링된다.
도 2 의 상부 전극 지지부 (218), 갭 드라이브 어셈블리 (224), 및 평면도 조정 어셈블리 (220) 는, 상부 전극 어셈블리가 상부 전극 지지부 (218) 에 적절히 고정될 수 있는 한, 다른 상부 전극 어셈블리 구성과 함께 이용될 수 있다. 상부 전극 지지부 (218), 평면도 조정 어셈블리 (220), 및 상부 전극 어셈블리 (211) 는 임의의 적절한 개수의 가스 통로 또는 그를 통해 형성된 가스 공급부를 구비할 수도 있다.
도 9 는 베벨 에처 (200) 를 조립하기 위한 예시적인 단계들을 나타내는 흐름도를 도시한다. 단계 902 에서, 하부 전극 어셈블리 (211) 는 하부 챔버 벽 (202b) 상에 장착되고, 적절한 레벨링 메커니즘에 의해 x 및 y 방향으로 레벨링된다. 그 다음에, 단계 904 에서, 하부 전극 어셈블리 (211) 의 전력공급 전극 (212) 상에 센터링 픽스쳐 (506) 가 장착된다. 다음으로, 단계 906 에서 센터링 픽스쳐 (506) 상에 상부 금속 컴포넌트 (208) 가 배치된다. 상부 전극 링 (320) 은 외측 유전체 링 (322) 상의 플랜지 (322a) 위에 그 외측 플랜지 (320a) 를 끼우고 외측 유전체 링 (322, 도 3 및 도 5) 안으로 볼트 (326) 를 조임으로써 금속 컴포넌트 (208) 에 클램핑될 수 있고, 유사하게, 하부 전극 링 (308) 은 외측 유전체 링 (310) 의 플랜지 (310a) 아래에 그 외측 플랜지 (308a) 를 끼우고 외측 유전체 링 (310) 안으로 볼트 (316) 를 조임으로써 금속 라이너 (312) 에 클램핑될 수 있다. 이어서, 단계 908 에서 상부 챔버 벽 (202a) 및 상부 전극 지지부 (218) 가 장착된다. 상부 전극 지지부 (218) 는 하나 이상의 핀에 의해 상부 금속 컴포넌트 (208) 에 정렬되고, 하나 이상의 패스너 (도 2 에는 미도시) 에 의해 상부 금속 컴포넌트 (208) 에 고정된다. 단계 910 에서, 금속 벨로우즈 (250) 는 상부 챔버 벽 (202a) 및 상부 전극 지지부 (218) 에 부착된다. 금속 벨로우즈 (250) 는, 상부 전극 지지부 (218) 가 벽 (202a) 에 대해 수직 운동을 갖는 것을 허용하면서 챔버 벽 (202a) 과 상부 전극 지지부 (218) 사이에 진공 밀봉을 형성한다. 그 다음에, 단계 912 에서, 갭 드라이브 어셈블리 (224) 가 장착된다. 다음으로, 단계 914 에서, 패스너 (270) 가 느슨해진 상태에서 갭 드라이브 어셈블리의 상부 갭 드라이브판 (234) 상에 어댑터판 (236) 이 장착되거나 부동-탑재된다. 단계 916 에서, 평면도 조정 어셈블리 (220) 가 장착된다. 이 단계에서, 평면도 조정 어셈블리 (220) 의 실린더부 (272) 는 하나 이상의 핀에 의해 상부 전극 지지부 (218) 에 정렬되고, 하나 이상의 패스너 (266) 에 의해 상부 전극 지지부 (218) 에 고정된다. 패스너 (270) 는 단단히 죄어져, 상부 갭 드라이브판 (234) 에 어댑터판 (236) 을 고정한다. 또한, 록 볼트 (406, 도 4) 가 느슨해진 상태에서 어댑터판 (236) 상에 평면판 (222) 이 배치된다. 다음으 로, 단계 918 에서, 센터링 픽스쳐 (506) 는 상부 유전체판 (210) 으로 대체된다. 유전체판 (210) 이 장착 되는 경우, 내부 PEZ 링은 또한, 플랜지 (210a, 도 3) 아래에 플랜지 (318b) 를 끼움으로써 장착되고, 하나 이상의 패스너 (266) 가 장착되어 상부 전극 지지부 (218) 에 상부 유전체판 (210) 을 고정시킨다. 패스너 (266) 는 상부 유전체판 (210) 내에 형성된 나사 홀과 맞물리는 나사 팁을 갖는다. 이와 같이, 패스너 (266) 는, 3 개의 컴포넌트들이 하나의 피스로서 움직이도록 상부 전극 어셈블리 (207) 에 평면도 조정 어셈블리 (220) 및 상부 전극 지지부 (218) 를 고정한다. 단계 920 에서, 3 개의 레벨링 스크류 (404) 가 회전되어, 하부 전극 어셈블리 (211) 에 대하여 상부 전극 어셈블리 (207) 의 틸트 각도를 조정한다. 평면도 조정 완료 시, 록 볼트 (406) 가 죄어져 평면판 (222) 을 어댑터판 (236) 에 고정시킨다. 최종적으로, 단계 922 에서, (볼트 샤프트보다 넓은 판 (236) 내의 홀에 배치된) 패스너 (270) 가 죄어져 어댑터판 (236) 을 상부 갭 드라이브 판 (234) 에 고정시킨다.
본 발명은 그 특정 실시형태를 참조하여 상세히 설명되었으나, 본 발명은 첨부된 특허청구범위의 범위 내에서 각종 변경 및 변형들이 이루어지며, 등가물이 이용될 수 있다는 것을 당업자는 인지할 것이다.

Claims (20)

  1. 반도체 기판을 플라즈마 세정하는 베벨 에처로서,
    반도체의 베벨 에지가 플라즈마 세정되는 내부를 갖는 챔버;
    베벨 에지를 갖는 기판을 지지하도록 구성되고, 최상면을 갖는 하부 전극 어셈블리;
    상기 최상면과 대향하고 공간 이격된 관계에 있어 상기 최상면과의 사이에 상기 반도체 기판을 수용하기 위한 갭을 형성하는 저면을 포함하는 상부 전극 어셈블리로서, 상기 하부 전극 어셈블리 및 상기 상부 전극 어셈블리는 동작 동안 상기 베벨 에지를 세정하기 위한 플라즈마를 생성하도록 동작되는, 상기 상부 전극 어셈블리; 및
    상기 상부 전극 어셈블리, 상기 하부 전극 어셈블리, 또는 상기 상부 전극 어셈블리 및 상기 하부 전극 어셈블리 모두를 지지하고 상기 최상면에 대하여 상기 저면의 틸트 각도, 수평 전이 (translation), 또는 상기 틸트 각도 및 상기 수평 전이 모두를 조정하도록 구성된 적어도 하나의 메커니즘을 포함하는, 베벨 에처.
  2. 제 1 항에 있어서,
    상기 메커니즘은 상기 상부 전극 어셈블리에 고정된 평면판 및 복수의 레벨링 스크류들을 포함하고,
    각 레벨링 스크류는 회전될 때 상기 평면판으로 하여금 상기 하부 전극 어셈블리에 대하여 틸팅되게 하여 상기 저면이 상기 최상면에 대하여 틸팅되게 하는, 베벨 에처.
  3. 제 2 항에 있어서,
    (a) 상기 메커니즘은 상기 평면판 바로 밑에 배치되고 상기 평면판에 고정된 어댑터판을 포함하고, 상기 어댑터판은 상기 상부 전극 어셈블리가 상기 하부 전극 어셈블리에 대하여 전이되도록 상기 최상면에 평행한 평면 상에서 슬라이딩되도록 구성되고; 또는
    (b) 갭 드라이브 어셈블리가 상기 평면판에 고정되고, 상기 최상면에 대해 수직한 방향으로 상기 평면판을 이동시켜 상기 최상면과 상기 저면 사이의 갭을 상기 방향에서 조정하도록 동작되는, 베벨 에처.
  4. 제 3 항에 있어서,
    상기 갭 드라이브 어셈블리는,
    상기 평면판에 고정된 복수의 슬라이드 탑재 블록들로서, 각 슬라이드 탑재 블록은 상기 방향에 대하여 기울어진 저면을 갖는, 상기 복수의 슬라이드 탑재 블록들;
    최상면들을 갖는 복수의 블록 드라이빙 판들을 포함하는 슬라이딩 컴포넌트로서, 상기 블록 드라이빙 판들의 최상면들을 따라 상기 저면들이 슬라이딩되어 상기 슬라이드 탑재 블록들로 하여금 상기 방향으로 이동하게 할 수 있는, 상기 슬라이딩 컴포넌트;
    출력 샤프트를 갖는 모터;
    상기 출력 샤프트에 커플링된 나사 로드 (threaded rod); 및
    상기 나사 로드 및 상기 슬라이딩 컴포넌트에 커플링되고, 상기 출력 샤프트의 회전 운동을 상기 슬라이딩 컴포넌트의 슬라이딩 운동으로 변환하여 상기 방향을 따른 상기 평면판의 운동을 실행하도록 동작하는 액츄에이터를 포함하는, 베벨 에처.
  5. 제 1 항에 있어서,
    상기 메커니즘은 그것을 통해서 상기 상부 전극 어셈블리까지 연장되는 적어도 하나의 프로세스 가스 통로를 포함하는, 베벨 에처.
  6. 제 5 항에 있어서,
    상기 상부 전극 어셈블리는,
    상기 메커니즘에 고정된 상부 금속 컴포넌트; 및
    상기 상부 금속 컴포넌트 바로 밑에 배치되고 상기 상부 금속 컴포넌트에 고정된 상부 유전체판을 포함하는, 베벨 에처.
  7. 제 6 항에 있어서,
    (a) 상기 상부 금속 컴포넌트 및 상기 상부 유전체판은 상기 프로세스 가스 통로에 커플링되어 가스 유출구를 형성하는 적어도 하나의 홀을 포함하고, 프로세스 가스는 상기 가스 유출구를 통해 상기 갭으로 도입되고; 또는
    (b) 상기 상부 유전체판은 상기 갭의 수직 높이를 측정하기 위한 적어도 하나의 갭 센서를 포함하는, 베벨 에처.
  8. 제 7 항에 있어서,
    상기 갭 센서는 유도성 센서, 레이저 센서, 용량성 센서, 음향 센서 및 LVDT (linear variable differential transformer) 센서로 이루어지는 그룹으로부터 선택된 센서인, 베벨 에처.
  9. 제 1 항에 있어서,
    상기 하부 전극 어셈블리는 상기 최상면을 둘러싸고 바로 밑에 위치된 하부 전극 링을 포함하고, 상기 상부 전극 어셈블리는 상기 저면을 둘러싸는 상부 전극 링을 포함하는, 베벨 에처.
  10. 제 9 항에 있어서,
    (a) 상기 상부 전극 링 및 상기 하부 전극 링 중 하나의 전극 링은 접지되고 다른 전극 링은 무선 주파수 (RF) 전력을 공급하는 RF 전원에 커플링되어 동작 동안 상기 플라즈마를 생성하고;
    (b) 상기 베벨 에지를 따라 중공 (hollow) 캐소드 링이 배치되고, 상기 중공 캐소드 링 및 상기 상부 전극 링과 상기 하부 전극 링 중 하나의 링은 무선 주파수 (RF) 전력을 공급하는 RF 전원에 커플링되어 상기 플라즈마를 생성하고, 나머지 링들은 접지되며; 또는,
    (c) 유도 코일이 무선 주파수 (RF) 전원에 커플링되고 상기 베벨 에지를 동심으로 둘러싸며 상기 RF 전원으로부터 RF 전력의 공급시 상기 플라즈마를 생성하도록 동작되고, 상기 상부 전극 링 및 상기 하부 전극 링은 접지되는, 베벨 에처.
  11. 제 1 항에 있어서,
    상기 하부 전극 어셈블리는,
    척 바디; 및
    상기 척 바디의 상부 에지를 둘러싸서 상기 척 바디의 최상면과 유전체 링에 의해 둘러싸인 진공 영역을 형성하는 상기 유전체 링으로서, 상기 유전체 링은 상기 반도체 기판의 저면이 상기 진공 영역을 둘러싸도록 상기 반도체 기판을 지지하도록 구성되는, 상기 유전체 링; 을 포함하고,
    상기 진공 영역은 동작 동안 진공 펌프에 의해 배기되어, 상기 반도체 기판을 상기 유전체 링 상의 제자리에 유지하는, 베벨 에처.
  12. 제 11 항에 있어서,
    (a) 상기 척 바디의 최상부는 유전성 재료로 형성되고; 또는
    (b) 상부 전극 링 및 하부 전극 링은 접지되고,
    상기 척 바디는, 무선 주파수 (RF) 전력을 공급하는 RF 전원에 커플링되어 동작 동안 상기 플라즈마를 생성하는 도전성 재료인, 베벨 에처.
  13. 제 1 항에 있어서,
    (a) 상기 하부 전극 어셈블리는 동작 동안 상기 반도체 기판을 제자리에 클램핑하기 위한 정전 척 및 상기 정전 척이 배치되는 지지부를 포함하고, 또는
    (b) 상기 베벨 에처는, 상기 하부 전극 어셈블리 및 상기 상부 전극 어셈블리를 부분적으로 둘러싸는 챔버 벽, 및 상기 챔버 벽 및 상기 메커니즘에 고정되고 상기 메커니즘이 상기 챔버 벽에 대하여 수직으로 이동하는 것을 허용하면서 상기 챔버 벽과 상기 메커니즘 사이에 진공 밀봉 (vacuum seal) 을 형성하도록 동작하는 금속 벨로우즈를 더 포함하는, 베벨 에처.
  14. 제 2 항에 있어서,
    상기 메커니즘은 상기 상부 전극 어셈블리에 고정된 평면판 및 상기 평면판 바로 밑에 배치되고 상기 평면판에 고정된 어댑터판을 포함하고,
    상기 어댑터판은 상기 최상면에 평행한 평면 상에서 슬라이딩되도록 구성되어 상기 상부 전극 어셈블리가 상기 하부 전극 어셈블리에 대하여 수평으로 전이되는, 베벨 에처.
  15. 제 14 항에 있어서,
    상기 평면판에 고정되고, 상기 최상면에 대해 수직한 수직 방향으로 상기 평면판을 이동시켜 상기 최상면과 상기 저면 사이의 갭을 상기 수직 방향으로 조정하도록 동작하는 갭 드라이브 어셈블리를 더 포함하는, 베벨 에처.
  16. 제 15 항에 있어서,
    상기 갭 드라이브 어셈블리는,
    상기 평면판에 고정된 복수의 슬라이드 탑재 블록들로서, 각 슬라이드 탑재 블록은 상기 수직 방향에 대하여 각을 이루는 저면을 갖는, 상기 복수의 슬라이드 탑재 블록들;
    최상면들을 갖는 복수의 블록 드라이빙 판들을 포함하는 슬라이딩 컴포넌트로서, 상기 블록 드라이빙 판들의 최상면들을 따라 상기 저면들이 슬라이딩되어 상기 슬라이드 탑재 블록들로 하여금 상기 수직 방향으로 이동하게 할 수 있는, 상기 슬라이딩 컴포넌트;
    출력 샤프트를 갖는 모터;
    상기 출력 샤프트에 커플링된 나사 로드; 및
    상기 나사 로드 및 상기 슬라이딩 컴포넌트에 커플링되고, 상기 출력 샤프트의 회전 운동을 상기 슬라이딩 컴포넌트의 슬라이딩 운동으로 변환하여 상기 수직 방향을 따른 상기 평면판의 운동을 실행하도록 동작하는 액츄에이터를 포함하는, 베벨 에처.
  17. 제 1 항에 기재된 베벨 에처를 조립하는 방법으로서,
    상기 메커니즘은 복수의 레벨링 스크류들을 포함하고,
    상기 하부 전극 어셈블리를 레벨링하는 단계;
    상기 하부 전극 어셈블리 위에 상기 상부 전극 어셈블리를 배치하는 단계;
    상기 상부 전극 어셈블리에 상기 메커니즘을 고정하는 단계; 및
    상기 레벨링 스큐류들 중 적어도 하나를 회전시켜 상기 최상면에 대하여 상기 저면의 상기 틸트 각도를 조정하는 단계를 포함하는, 베벨 에처를 조립하는 방법.
  18. 제 17 항에 있어서,
    상기 상부 전극 어셈블리는 상기 메커니즘에 고정된 상부 금속 컴포넌트 및 상기 상부 금속 컴포넌트 바로 밑에 배치되고 상기 상부 금속 컴포넌트에 고정된 상부 유전체판을 포함하고,
    상기 상부 전극 어셈블리를 배치하는 단계는,
    상기 하부 전극 어셈블리 상에 센터링 픽스쳐 (centering fixture) 를 탑재하는 단계; 및
    상기 센터링 픽스쳐 상에 상기 상부 금속 컴포넌트를 탑재하는 단계를 포함하고,
    상기 상부 전극 어셈블리에 상기 메커니즘을 고정하는 단계는,
    상기 상부 금속 컴포넌트 상에 상기 메커니즘을 배치하고 상기 상부 금속 컴포넌트에 상기 메커니즘을 고정하여 상기 하부 전극 어셈블리 위에 상기 상부 전극 어셈블리를 매다는 단계;
    상기 센터링 픽스쳐를 제거하는 단계; 및
    상기 상부 금속 컴포넌트에 상기 상부 유전체판을 고정하는 단계를 포함하 는, 베벨 에처를 조립하는 방법.
  19. 반도체 기판의 베벨 에지를 세정하는 방법으로서,
    제 1 항에 기재된 베벨 에처 내에 반도체 기판을 로딩하는 단계;
    상기 최상면과 상기 저면 사이의 갭 안으로 프로세스 가스를 주입하는 단계; 및
    상기 프로세스 가스를 플라즈마로 에너자이징하여 상기 반도체 기판의 상기 베벨 에지를 세정하는 단계를 포함하는, 반도체 기판의 베벨 에지를 세정하는 방법.
  20. 제 19 항에 있어서,
    상기 반도체 기판은 웨이퍼, 평면 패널 디스플레이, 또는 회로 기판으로 이루어진 그룹으로부터 선택된 반도체 기판인, 반도체 기판의 베벨 에지를 세정하는 방법.
KR1020097017727A 2007-01-26 2008-01-24 갭이 제어되는 베벨 에처 KR101433411B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/698,191 2007-01-26
US11/698,191 US7858898B2 (en) 2007-01-26 2007-01-26 Bevel etcher with gap control
PCT/US2008/000940 WO2008091668A1 (en) 2007-01-26 2008-01-24 Bevel etcher with gap control

Publications (2)

Publication Number Publication Date
KR20090106636A KR20090106636A (ko) 2009-10-09
KR101433411B1 true KR101433411B1 (ko) 2014-08-26

Family

ID=39644816

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097017727A KR101433411B1 (ko) 2007-01-26 2008-01-24 갭이 제어되는 베벨 에처

Country Status (6)

Country Link
US (1) US7858898B2 (ko)
JP (1) JP5248526B2 (ko)
KR (1) KR101433411B1 (ko)
CN (1) CN101589458B (ko)
TW (1) TWI419225B (ko)
WO (1) WO2008091668A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180071120A (ko) * 2016-12-19 2018-06-27 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법

Families Citing this family (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8268116B2 (en) 2007-06-14 2012-09-18 Lam Research Corporation Methods of and apparatus for protecting a region of process exclusion adjacent to a region of process performance in a process chamber
US8137501B2 (en) 2007-02-08 2012-03-20 Lam Research Corporation Bevel clean device
US8257548B2 (en) * 2008-02-08 2012-09-04 Lam Research Corporation Electrode orientation and parallelism adjustment mechanism for plasma processing systems
US8438712B2 (en) * 2008-02-08 2013-05-14 Lam Research Corporation Floating collar clamping device for auto-aligning nut and screw in linear motion leadscrew and nut assembly
US8273178B2 (en) * 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US8323523B2 (en) 2008-12-17 2012-12-04 Lam Research Corporation High pressure bevel etch process
US8262923B2 (en) * 2008-12-17 2012-09-11 Lam Research Corporation High pressure bevel etch process
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US9275838B2 (en) * 2009-09-02 2016-03-01 Lam Research Corporation Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8501283B2 (en) * 2010-10-19 2013-08-06 Lam Research Corporation Methods for depositing bevel protective film
US20120318455A1 (en) * 2011-06-14 2012-12-20 Andreas Fischer Passive compensation for temperature-dependent wafer gap changes in plasma processing systems
CN102847752A (zh) * 2011-06-30 2013-01-02 深圳富泰宏精密工业有限公司 压合装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013016941A1 (zh) * 2011-07-29 2013-02-07 无锡华瑛微电子技术有限公司 可调式半导体处理装置及其控制方法
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US20140007901A1 (en) * 2012-07-06 2014-01-09 Jack Chen Methods and apparatus for bevel edge cleaning in a plasma processing system
US9184030B2 (en) * 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US20140141619A1 (en) * 2012-11-19 2014-05-22 Tokyo Electron Limited Capacitively coupled plasma equipment with uniform plasma density
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9119650B2 (en) 2013-03-15 2015-09-01 Covidien Lp Microwave energy-delivery device and system
US9301723B2 (en) * 2013-03-15 2016-04-05 Covidien Lp Microwave energy-delivery device and system
US9161814B2 (en) 2013-03-15 2015-10-20 Covidien Lp Microwave energy-delivery device and system
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
WO2015049741A1 (ja) * 2013-10-02 2015-04-09 ギガフォトン株式会社 レーザ装置
US10937634B2 (en) 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
CN103745902A (zh) * 2013-12-16 2014-04-23 深圳市华星光电技术有限公司 Pecvd处理装置及在基板上进行pecvd处理的方法
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US9290843B2 (en) * 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US10186450B2 (en) 2014-07-21 2019-01-22 Asm Ip Holding B.V. Apparatus and method for adjusting a pedestal assembly for a reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9633862B2 (en) 2015-08-31 2017-04-25 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus and semiconductor manufacturing method
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10533251B2 (en) 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10813692B2 (en) 2016-02-29 2020-10-27 Covidien Lp 90-degree interlocking geometry for introducer for facilitating deployment of microwave radiating catheter
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11462387B2 (en) * 2018-04-17 2022-10-04 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11211282B2 (en) * 2018-06-15 2021-12-28 Applied Materials, Inc. Apparatus to reduce contamination in a plasma etching chamber
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR20210055088A (ko) * 2018-09-28 2021-05-14 어플라이드 머티어리얼스, 인코포레이티드 동적 레벨링을 갖는 동축 리프트 디바이스
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10903050B2 (en) 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
WO2021071999A1 (en) * 2019-10-10 2021-04-15 Lam Research Corporation Semiconductor substrate bevel cleaning
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
KR102116474B1 (ko) * 2020-02-04 2020-05-28 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN114446748A (zh) * 2020-10-30 2022-05-06 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其工作方法
CN114582691A (zh) * 2020-11-18 2022-06-03 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其调节方法
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN115621108A (zh) * 2021-07-16 2023-01-17 长鑫存储技术有限公司 半导体制造设备及半导体制造设备腔室沉积物清除方法
KR102580584B1 (ko) * 2021-08-25 2023-09-21 피에스케이 주식회사 기판 처리 장치 및 유전체 판 정렬 방법
KR102589182B1 (ko) * 2021-08-31 2023-10-16 피에스케이 주식회사 기판 처리 장치 및 유전체 판 정렬 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114068271B (zh) * 2021-11-15 2023-10-20 长鑫存储技术有限公司 上电极结构及半导体加工设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050010257A (ko) * 2003-07-18 2005-01-27 위순임 웨이퍼 에지 처리용 플라즈마 발생장치
KR20050049903A (ko) * 2003-11-24 2005-05-27 세메스 주식회사 웨이퍼 에지 식각 장치
JP2006032965A (ja) 2004-07-20 2006-02-02 Samsung Electronics Co Ltd 半導体食刻装置
KR20060089886A (ko) * 2005-02-03 2006-08-10 삼성전자주식회사 반도체 식각장치 및 그 정전척

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4899195A (en) 1988-01-29 1990-02-06 Ushio Denki Method of exposing a peripheral part of wafer
US4875989A (en) 1988-12-05 1989-10-24 Texas Instruments Incorporated Wafer processing apparatus
JPH02192717A (ja) 1989-01-20 1990-07-30 Sharp Corp レジスト除去装置
US5213650A (en) 1989-08-25 1993-05-25 Applied Materials, Inc. Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
SG67879A1 (en) 1991-08-22 1999-10-19 At & T Corp Removal of substrate perimeter material
JP3151014B2 (ja) 1991-09-20 2001-04-03 住友精密工業株式会社 ウエーハ端面のエッチング方法とその装置
SE501894C2 (sv) * 1993-10-13 1995-06-12 Kvaerner Pulping Tech Förfarande och anordning för inmixning av fluid i en massasuspension
JPH07142449A (ja) 1993-11-22 1995-06-02 Kawasaki Steel Corp プラズマエッチング装置
JP3521587B2 (ja) 1995-02-07 2004-04-19 セイコーエプソン株式会社 基板周縁の不要物除去方法及び装置並びにそれを用いた塗布方法
DE19622015A1 (de) 1996-05-31 1997-12-04 Siemens Ag Verfahren zum Ätzen von Zerstörungszonen an einem Halbleitersubstratrand sowie Ätzanlage
JP2000186000A (ja) 1998-12-22 2000-07-04 Speedfam-Ipec Co Ltd シリコンウェーハ加工方法およびその装置
US6241825B1 (en) * 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6436303B1 (en) 1999-07-21 2002-08-20 Applied Materials, Inc. Film removal employing a remote plasma source
US7204887B2 (en) * 2000-10-16 2007-04-17 Nippon Steel Corporation Wafer holding, wafer support member, wafer boat and heat treatment furnace
JP2002334862A (ja) 2001-05-10 2002-11-22 Mitsubishi Electric Corp 半導体装置の製造方法およびその製造方法に用いる半導体基板の洗浄装置
KR20030002241A (ko) 2001-06-30 2003-01-08 주식회사 하이닉스반도체 웨이퍼 에지 세정 장치
KR100442194B1 (ko) 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
US6837967B1 (en) 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US20040137745A1 (en) 2003-01-10 2004-07-15 International Business Machines Corporation Method and apparatus for removing backside edge polymer
WO2004100247A1 (ja) * 2003-05-12 2004-11-18 Sosul Co., Ltd. プラズマエッチングチャンバーと、これを用いたプラズマエッチングシステム
KR100585089B1 (ko) 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
KR100636917B1 (ko) 2004-08-17 2006-10-19 주식회사 하이닉스반도체 플라즈마를 이용한 웨이퍼 에지 세정 장치
US7597816B2 (en) * 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
KR100696955B1 (ko) 2004-10-28 2007-03-20 주식회사 하이닉스반도체 웨이퍼 에지의 베벨 식각 장치 및 그를 이용한 베벨 식각방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050010257A (ko) * 2003-07-18 2005-01-27 위순임 웨이퍼 에지 처리용 플라즈마 발생장치
KR20050049903A (ko) * 2003-11-24 2005-05-27 세메스 주식회사 웨이퍼 에지 식각 장치
JP2006032965A (ja) 2004-07-20 2006-02-02 Samsung Electronics Co Ltd 半導体食刻装置
KR20060089886A (ko) * 2005-02-03 2006-08-10 삼성전자주식회사 반도체 식각장치 및 그 정전척

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180071120A (ko) * 2016-12-19 2018-06-27 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
KR102269342B1 (ko) * 2016-12-19 2021-06-28 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
US20080179297A1 (en) 2008-07-31
KR20090106636A (ko) 2009-10-09
CN101589458B (zh) 2011-07-13
TWI419225B (zh) 2013-12-11
WO2008091668A1 (en) 2008-07-31
JP5248526B2 (ja) 2013-07-31
CN101589458A (zh) 2009-11-25
TW200845187A (en) 2008-11-16
US7858898B2 (en) 2010-12-28
JP2010517297A (ja) 2010-05-20

Similar Documents

Publication Publication Date Title
KR101433411B1 (ko) 갭이 제어되는 베벨 에처
JP5248525B2 (ja) 構成自在ベベルエッチャ
US10832923B2 (en) Lower plasma-exclusion-zone rings for a bevel etcher
US9437402B2 (en) Plasma processor and plasma processing method
KR102009595B1 (ko) 플라즈마 처리 챔버에서 갭 높이 및 평탄화 조정을 제공하는 기판 서포트
US7837828B2 (en) Substrate supporting structure for semiconductor processing, and plasma processing device
TWI685873B (zh) 斜角蝕刻器、可調式上部電漿排除區域環、清潔斜角邊緣的方法及更換可調式上部電漿排除區域環的方法
JP2023546350A (ja) プラズマ処理システム用の可動エッジリング
KR20230155538A (ko) 프로세스 환경을 기판 직경을 넘어 확장시키는 기판 에지 링

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170809

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180807

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190806

Year of fee payment: 6

J204 Request for invalidation trial [patent]
J301 Trial decision

Free format text: TRIAL NUMBER: 2022100000205; TRIAL DECISION FOR INVALIDATION REQUESTED 20220121

Effective date: 20221102