CN101589458B - 带有间隙控制的斜面蚀刻器 - Google Patents

带有间隙控制的斜面蚀刻器 Download PDF

Info

Publication number
CN101589458B
CN101589458B CN2008800032471A CN200880003247A CN101589458B CN 101589458 B CN101589458 B CN 101589458B CN 2008800032471 A CN2008800032471 A CN 2008800032471A CN 200880003247 A CN200880003247 A CN 200880003247A CN 101589458 B CN101589458 B CN 101589458B
Authority
CN
China
Prior art keywords
electrode assembly
ring
plate
fixed
bevel etcher
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008800032471A
Other languages
English (en)
Other versions
CN101589458A (zh
Inventor
安德鲁·D·贝利三世
艾伦·M·舍普
格雷戈里·塞克斯顿
安德拉斯·库蒂
金允尚
威廉·S·肯尼迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=39644816&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN101589458(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101589458A publication Critical patent/CN101589458A/zh
Application granted granted Critical
Publication of CN101589458B publication Critical patent/CN101589458B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

一种清洁半导体基片斜缘的装置。该装置包括具有顶部表面并适于支撑该基片的下电极总成以及具有相对该顶部表面的底部表面的上电极总成。该上和下电极总成生成等离子,用以在运行期间清洁设在该顶部和底部表面之间的该基片的斜缘。该装置还包括将该上电极总成悬置在该下部支撑件上方并且调节该底部表面相对该顶部表面的倾角和水平位移的机构。

Description

带有间隙控制的斜面蚀刻器
背景技术
集成电路由晶片或基片形成,其上形成图案化微电子层。在处理基片中,往往采用等离子来蚀刻沉积在基片上的膜所需要的部分。通常,蚀刻等离子密度越靠近基片边缘越低,这会导致多晶硅层、氮化物层、金属层等(总称为副产物层)聚集在基片斜缘的顶部和底部表面上。随着多个不同蚀刻工艺导致连续的副产物层沉积在基片斜缘的顶部和底部表面上,副产物层和基片之间的粘合将最终变弱,副产物层往往会在基片传送过程中脱落或剥落在别的基片上,由此污染别的基片。
发明内容
在一个实施例中,一种用于等离子清洁半导体基片的斜面蚀刻器包括:具有内部的室,在其中等离子清洁半导体的斜缘;下电极总成,具有顶部表面并适于支撑具有斜缘的基片;上电极总成,包括底部表面,其对着该顶部表面并且与该顶部表面隔开以形成用于在其中容纳基片的间隙,该下和上电极总成可运行以生成在运行期间清洁该斜缘的等离子;和至少一个机构支撑该上和/或下电极总成,并且适于调节该底部表面相对顶部表面的倾角和/或水平位移。
在另一实施例中,一种组装上述包括多个校正螺杆的斜面蚀刻器的方法,包括校正该下电极总成,将该上电极总成设在该下电极总成上方,将该机构固定于该上电极总成,和转动至少一个校正螺杆以调节该底部表面相对于该顶部表面的倾角。
在另一实施例中,一种清洁半导体基片的斜缘的方法,包括将半导体基片装载进上述的该斜面蚀刻器,将工艺气体喷射进该顶部和底部表面之间的间隙,以及将该工艺气体激发为等离子以清洁该基片的斜缘。
附图说明
图1A示出斜面蚀刻器的示意性剖视图,图1B示出图1A中区域A的放大视图,以及图1C示出斜面蚀刻器的示意性剖视图。
图2示出按照一个实施例的斜面蚀刻器的示意性剖视图。
图3示出图2中区域B的放大视图。
图4示出图2中区域C的放大视图。
图5示出图2中区域B的放大视图,说明用于将上电极总成与下电极总成对准的定心器。
图6示出按照另一实施例的斜面蚀刻器的示意性剖视图。
图7示出按照又一实施例的斜面蚀刻器的示意性剖视图。
图8示出按照又一实施例的斜面蚀刻器的示意性剖视图。
图9示出说明装配图2中斜面蚀刻器的示范性步骤的流程图。
具体实施方式
图1A示出斜面蚀刻室或斜面蚀刻器100的示意性剖视图。图1B示出图1A中区域A的放大视图。如所述,半导体120介于上电极总成102和下电极总成104之间,并具有斜缘122(图1B),其包括该基片边缘的顶部和底部表面。该上电极总成102包括阳极108和绝缘体层或绝缘体110,绝缘体设在该阳极108下方或附着于其下表面。该绝缘体110避免在蚀刻该斜缘122期间在该阳极108和该基片120中间部分之间形成电场或电磁场。该下电极总成104包括连接到射频(RF)电源的阴极112、用于夹持该基片120的静电卡盘114和用于支撑该静电卡盘114的支撑件116。该RF电源提RF功率以将工艺气体(通过一个或多个出口106喷射)激发为等离子由此清洁该斜缘122。
该上电极总成102的下表面可相对于该下电极总成104的上表面倾斜角度α。同样,上电极和下电极的相对表面可在图1A纸面90°的方向倾斜另一角度。下文中,术语倾角总称为这两个角度。并且,术语平面度调节指调节该倾角。该倾角会导致等离子在该基片120的周向不一致,这会导致不均匀的斜缘蚀刻。
图1C示出斜面蚀刻器130的示意性剖视图,其中线条140、142分别表示上、下电极总成132、134的中心轴。该斜面蚀刻器130的部件与图1A中所示类似。如所述,该上电极总成132可相对该下电极总成134在x方向错开。该上电极总成132还可相对该下电极总成134在y方向错开。通常,该基片136与这两个电极总成之一对准,优选地是该上电极总成132。轴线错开会导致底部边缘隔离区(exclusion zone)D沿该基片136边缘不一致。通常,斜面蚀刻器会同时具有图1A和1C所述的倾角和轴线错开。因此,需要一种蚀刻室,其具有用于平面度调节和轴线对准的机构以产生均匀的斜缘蚀刻。
现在参照图2,示出按照一个实施例用于清洁基片280的斜缘的基片280蚀刻系统或斜面蚀刻器200的示意性剖视图。该斜面蚀刻器200包括:下电极总成211;顶部和底部室壁202a、202b,其具有门(door)或闸门(gate)216,通过其装载或卸掉该基片280;上电极总成207,具有水平延伸上部金属部件208和贴附或者固定于该上部金属部件208的凹口的上部介电板210;优选地通过紧固件固定于该上电极总成207的垂直延伸上部电极支撑件218,(图2中未示),由此将该上电极总成207悬挂在该下电极总成211上方;具有平面度板222的调节总成220、多个螺钉总成238和通过紧固件266固定在该板222和该上电极支撑件218之间的圆柱部分272;垫板236,通过该螺钉总成238固定于该平面度板222;间隙驱动总成(gapdriveassembly)224,通过紧固件270固定于该垫板236;和金属波纹管(metal bellows)250,用以在该室壁202a和上电极支撑件218之间形成真空密封,同时允许该支撑件218相对该壁202a垂直运动。
该圆柱部分272、上电极支撑件218和上部金属部件208具有多个孔,用以容纳螺钉或紧固件266,将这些部件保持在一起。该上部介电板210包括螺纹孔,用以容纳该紧固件266从而将该平面度调节总成220、上电极支撑件218和上部金属部件208固定于该上部介电板210。为了通过该闸门216装载/卸掉基片,该间隙驱动总成224将该垫板236、平面度调节总成220、上电极支撑件218和该上电极总成207作为整体在垂直或z方向移动。该圆柱部分272和平面度板222优选地整体地形成为分开的部分或者形成为整体(例如,铝、铝合金、钢等的机加工体)。在一种变化方式中,该平面度调节总成220和上电极支撑件218可以整体地形成为整体(例如,铝、铝合金、钢等的机加工体)。该圆柱部分272和上电极支撑件218具有中心气体输入(feed)或通道262和一个或多个边缘气体输入或通道260,用以提供将在该斜缘附近激发为等离子的各种气体。
该间隙驱动总成224包括:上间隙驱动板234,用以支撑该垫板236;两对安装块232,在圆柱部分272的相对侧固定于该上间隙驱动板234,每个安装块具有相对于支撑件218和部分272的垂直轴倾斜的底部表面;滑动部件230,具有与该滑动安装块232的倾斜底部表面接触的块驱动板233;执行器231,耦接到该滑动部件230;马达226;以及螺杆228,耦接到该马达226和执行器231,并且可运转以将该马达226的转动转换为该执行器231和滑动部件230的水平运动。为了在该垂直方向移动该上电极总成207,该马达226转动该螺杆228,其转而移动该块驱动板233相对于该滑动安装块232在水平方向移动。结果,该滑动安装块232由于它们的底部表面斜度而在该垂直方向移动,由此,该上间隙驱动板234、垫板236、平面度调节总成220、上电极支撑件218和该上电极总成207作为一个整体在垂直方向移动。
该上部金属部件208包括耦接到该中心气体输入262的阶梯孔和耦接到该边缘气体输入260的边缘气室209。该上部介电板210贴附于电接地的上部金属部件208,并由介电陶瓷材料如氧化铝形成。该上部介电板210可选地具有Y2O3涂层。通常,难以在某些陶瓷(如Al2O3)钻深直孔,所以可使用阶梯孔276替代深直孔。为了简洁,该上部介电板210示为具有一个中心孔。然而,该上部介电板210可具有任何所需数量的孔,例如,喷头孔式样。该上部介电板210包括间隙传感器274,用以测量该上、下电极总成207、211之间的垂直间隙。由该间隙传感器274输出的信号经由合适的电路传送到马达控制器(图2中未示),用以控制该马达226,并由此调节该垂直间隙。别的类型的原位探测器,如激光、电感、电容、线性差动变压器(LVDT)传感器,可用作间隙传感器,并且根据传感器的类型可位于该室壁202的里面或外面。
该下电极总成211可包括:通电电极212,耦接到RF电源261用以在运行期间提供RF功率;底部介电环264,具有上、下部264a、264b,用以将该通电电极212与该底部室壁202b电绝缘;和起顶销操作单元(销单元)。
该中心气体输入262和/或边缘气体输入260用来将各种不同的气体提供进室壁202内部的空间286。运行期间,该等离子围绕该基片280的斜缘在大体上环形区域内形成用以晶片斜缘清洁。为了防止该等离子到达该基片280的中心部分,该工艺气体可通过该中心气体输入262输入。则该气体通过该上电极总成207和该基片280之间的间隙径向穿过该基片。当使用边缘气体和中心气体输入时,每个气体输入可提供同样的工艺气体或别的气体,如缓冲气体和净化气体。例如,该缓冲气体可通过该中心气体输入262喷射,而该工艺气体可通过该边缘气体输入260喷射。室空间286中的等离子/工艺气体通过多个孔284抽进底部空间282中,并从那里到达真空泵268。
图3示出图2中区域B的放大示意图。如所述,该上电极总成207包括三个同心设置的环:内部上部可配置等离子隔离区(PEZ)环318;中间上部电极环320;和外部上部介电环322。下文中,术语PEZ指的是该基片的中心到排除用于清洁该斜缘的等离子的区域的边缘的径向距离。该上部可配置PEZ环318和该上部电极环320之间的间隙340形成弯曲的气体通道,其连接至由通孔302形成的边缘气体通道。该边缘气体通道302连接至该边缘气室209(图2)。该弯曲间隙340防止该边缘气体通道302直接暴露于等离子由此防止在该边缘气体通道302内形成次级等离子或等离子点燃。该次级等离子会侵蚀该边缘气体通道302的内壁,由此需要更频繁地更换该上部金属部件208并将被侵蚀材料污染引入该基片280。
该上部可配置PEZ环318具有内部凹口和外部凹口,形成在向下延伸突出部318a的相对侧,其中该内部凹口用来将该上部可配置PEZ环318安装在该上部介电板210的外部突出部210a上。该上部PEZ环318可具有不同的构造,并且在基片上方提供不同的等离子隔离区域。该上部PEZ环318可用不同构造的PEZ环代替。由于等离子侵蚀,该PEZ环318还需要比该上电极总成207的其他部件更频繁地更换,因此是消耗部件。通常,工艺气体可包括含氧气体,如O2。也可以增加少量的(如体积<10%)含氟气体,如CF4、SF6或C2F6以清洁该斜缘。含有这些反应气体的等离子会侵蚀该上部可配置PEZ环318,因此导致频繁更换该上部可配置PEZ环318。为了在更换过程中容易到达该上部可配置PEZ环318,该上部可配置PEZ环318通过该上部介电板210保持在适当的位置,并且在不从该室壁202去除该上电极总成207别的部件的情况下来更换。
该上部可配置PEZ环318优选地最小化该上部介电板210的等离子侵蚀。该上部可配置PEZ环318是优选地由导电、半导电或介电材料形成,如环完全由氧化铝(Al2O3)、氮化铝(AlN)、氧化硅(SiO2)、碳化硅(SiC)、氮化硅(Si3N4)、硅(Si)、氧化钇(Y2O3)或其他材料形成,或该支撑环124可以是金属、涂有导电或介电材料(如Si,SiC或Y2O3)的陶瓷或聚合物,例如,陶瓷(优选地Al2O3)、或纯材料(如SiC)形成的复合环,以降低斜缘清洁操作期间该基片280的污染。该上部可配置PEZ环318还可由具有高电阻的材料形成,优选地,但不限于,至少大约~105ohm-cm。由于该通电电极212和该上部电极环320之间的电耦合受到该上部可配置PEZ环318的电属性的影响,所以该等离子特征可通过选择该上部可配置PEZ环318的材料来控制。
该上部电极环320接触并且通过该上部金属部件208接地。不是使用紧固机构,如螺栓,而是该上部电极环320优选地由该外部上部介电环322的夹紧力保持在适当的位置。例如,该环322上向内延伸的凸缘322a可安装在环320向外延伸的凸缘320a下面。该上部电极环320可由金属形成,如阳极氧化铝。在能够避免铝污染的情况下,该上部电极环320可以由纯Si、CVDSiC或任何合适的高纯度导电材料形成。该夹紧装置比螺栓贯通设计的好处在于该夹紧装置简化了该上部电极环320的截面构造,由此降低消耗品成本(CoC),并且允许为污染控制使用范围较广的材料。该上部电极环320的底部表面优选地在该上部介电板210的底部表面上方垂直偏移。在一种变化中,该上部电极环320的内部和外部边缘可进一步向外延伸,和/或该上部电极环320的底部表面与该板210的底部表面同一平面,或比该表面低。
该外部上部介电环322优选地由介电材料如Al2O3形成,并且可涂有Y2O3。该外部上部介电环322包括周向间隔分开的螺纹孔324以容纳螺栓326用以将该外部上部介电环322固定到该上部金属部件208。该外部上部介电环322包括凸缘322a,用来将该上部电极环320夹紧到该上部金属部件208。注意,每个螺栓326是从该上电极总成207的顶侧拧紧从而这些螺栓不会暴露于该等离子。该外部上部介电环322的内部边缘直径确定该环或用于清洁该斜缘的环状等离子的外径。
该下电极总成211包括下部金属圈312和三个同心设置的环:下部可配置PEZ环306;下部电极环或卡箍环308;和外部下部介电环310。该基片280安装在该下部可配置PEZ环306的顶部表面上。该通电电极212的顶部表面、该基片280的底部表面和下部可配置PEZ环306形成浅的真空区域凹口(真空区域)330,其中真空泵抽空该基片下方的该真空区域。例如,电极212中的升降销孔可与真空泵连通。这样,该通电电极212起到真空卡盘的作用,用以在清洁操作期间将该基片280保持在适当的位置。
该下部可配置PEZ环306、下部电极环308和下部金属圈312由该底部介电环或聚焦环264支撑(更具体地,支撑在该底部介电环的上部部分264a上)。该下部电极环308通过该外部下部介电环310夹紧在该下部金属圈312,其中该下部金属圈312连接至该底部室壁202b以接地。例如,介电环310上向内延伸的法兰310a可覆盖并且抵靠该电极环308上向外延伸的法兰308a夹紧。该聚焦环264将该通电电极212与该下部电极环308和该下部金属圈312电绝缘。在所示的实施例中,圈312包括与聚焦环264a配合的台阶内表面和与介电环310配合的台阶外表面。
该通电电极212优选地由金属形成,如阳极氧化铝。在没有该PEZ环306的情况下,该通电电极212会暴露于该等离子并且受到其侵蚀,以及在需要高清洁度等离子的情况下,电极将由贵金属组成以满足清洁度要求。相反,由于该下部可配置PEZ环306屏蔽该通电电极212不受该等离子影响,该通电电极212可由不太昂贵的电极材料形成而不管怎样的清洁度要求。
该下部可配置PEZ环306具有内部和外部凸缘306a、306b,该内部凸缘306a用来将该下部可配置PEZ环306安装在该通电电极212的外部上部边缘和聚焦环264的上部部分264a上的凹口。该下部可配置PEZ环306可用不同构造的环替换以提供不同的底部等离子隔离区域。由于等离子侵蚀,该下部可配置PEZ环306也需要比该下电极总成211的其他部件更频繁地更换,并且可以认为是消耗部件。为了在更换过程中容易到达该下部可配置PEZ环306,该下部可配置PEZ环306优选地安装为该凸缘306a覆盖该通电电极212的上部表面212a和该聚焦环264的上部表面264c,并且可以在不从该室壁202去除该下电极总成211别的部件的情况下更换。
该下部可配置PEZ环306优选地最小化该等离子对该通电电极212的侵蚀。该下部可配置PEZ环306是优选地由导电、半导电或介电材料形成,如环完全由氧化铝(Al2O3)、氮化铝(AlN)、氧化硅(SiO2)、碳化硅(SiC)、氮化硅(Si3N4)、硅(Si)、氧化钇(Y2O3)或其他材料形成,或该支撑环124可以是金属、涂有导电或介电材料(如Si,SiC或Y2O3)的陶瓷或聚合物,例如,陶瓷(优选地Al2O3)、或纯材料(如SiC)形成的复合环,以降低该基片280运行期间的污染。该下部可配置PEZ环306还可由高电阻材料形成,优选地,但不限于,至少大约~105ohm-cm。由于该通电电极212和该下部电极环308之间的电耦合受到该下部可配置PEZ环306电属性影响,所以可通过改变该下部可配置PEZ环306的材料来控制该等离子特性。
该下部电极环308连接到该下部金属圈312并经由其接地。不是使用紧固机构,如螺栓,而是该下部电极环308优选地通过该外部下部介电环310的夹紧力保持在合适的位置。该下部电极环308可由金属形成,如阳极氧化铝。在要最小化该基片污染的情况下,该下部电极环308可由纯Si、CVDSiC或任何合适的高纯度导电材料形成。该夹紧在合适位置的设备可简化该下部电极环308的剖面结构,由此降低CoC并允许为污染控制使用较广范围的材料。
该外部下部介电环310优选地由介电材料形成,如Al2O3,并且涂有Y2O3。该外部下部介电环310包括螺纹孔314以容纳螺栓316,用以将该外部下部介电环310固定到该下部金属衬垫312。如上面所讨论的,该外部下部介电环310包括凸缘,其用于将该下部电极环308夹紧到该下部金属圈312。
图4是图2中区域C的放大视图。如所述,该垫板236设在该上间隙驱动板234上并由紧固件270固定于该上间隙驱动板234。为了补偿该上部和下部电极总成207、211之间垂直轴不重合,该垫板236利用该紧固件270松连接而浮动安装在该上间隙驱动板234上。然后,该垫板236,其与该平面度调节总成220、上电极支撑件218和上电极总成207作为整体移动,在x和y方向移动以将该上电极总成207与该下电极总成211对准。在对准补偿之后,通过拧紧该紧固件270将该垫板236固定于该上间隙驱动板234。
该斜面蚀刻器200优选地包括,但不限于,三个校正(leveling)螺杆总成238。每个螺杆总成238包括:校正螺杆404,具有与该平面度板222的内螺纹啮合的螺纹和精确读取该螺杆转动角度的游标刻度;下部球面垫圈408,用以容纳该垫板236和平面度板222之间的倾斜间隙(titled gap);锁紧螺栓406,具有与该垫板236的内螺纹啮合的螺纹;和上部球面垫圈402,用以容纳该校正螺杆404和该锁紧螺栓406之间的倾斜间隙。为了解决该上电极总成207相对该下电极总成211的倾角,该平面度板222利用该锁紧螺栓406松连接而安装在该垫板236上。然后,转动这三个校正螺杆404以调节该倾角。随后,拧紧该锁紧螺栓406以将该平面度板222固定于该垫板236。
图5是图2中区域B的放大部分,说明定心器506,用以将该上电极总成207与该下电极总成211对准。在组装该斜面蚀刻器200期间,该定心器506用来代替该上部介电板210。组装该斜面蚀刻器200步骤的进一步详细描述结合图9给出。如图5所述,该定心器506包括围绕其底部边缘形成的环形突出部510。最小化该环形突出部510的内径和该通电电极212的外径之间的公差以获得该上电极总成与该下电极总成211在x和y方向的精确对准。该定心器506的外径与该上部介电板210的外径相同,从而该定心器506在组装该斜面蚀刻器200期间替代该上部介电板210。
该定心器506包括至少一个与孔或路径504对准的阶梯孔508,从而该定心器506相对该下电极总成211沿z轴具有精确的角定向。为了将该孔504与该孔508对准,将可移除的定位销502插入该孔508,其中该销502的底部尖部延伸进该孔504的顶部。如结合图3所描述的,该起顶销通过孔504上下移动,其中每个孔504起到气体通道的作用,真空泵通过这些通道排空该真空区域330。该通电电极212起到真空卡盘的作用,并且通过该基片280的顶表面和底表面之间的压力差将该基片280保持在合适的位置(图3)。作为一种变化,该下电极总成211可包括静电卡盘,替代真空卡盘。在这个情况下,该静电卡盘设在真空区域330,并且在清洁操作期间将该基片保持在合适的位置。
图6示出按照另一实施例的斜面蚀刻器600的示意性剖视图。该斜面蚀刻器600的部件类似于图2示出的那些。不同之处在于该下电极总成606包括:基片支撑件612;设在该基片支撑件612上的介电板或层610;和耦接至RF电源614的下部电极环616,用以提供RF功率以将该工艺气体激发为等离子以便清洁该斜缘。该基片支撑件612可由导电材料或介电材料形成。该基片支撑件612起到真空卡盘的作用并包括销单元。为了简洁,该销单元图6中未示。作为一种变化,该斜面蚀刻器600可包括设在该基片支撑件612上的静电卡盘。在这个实施例中,该上电极总成604和上电极支撑件602分别类似于图2中的该上电极总成207和上电极支撑件218。在另一种变化中,该上部电极环618可耦接至RF电源,而该下部电极环616接地。
该斜面蚀刻器600包括间隙驱动总成、平面度总成和金属波纹管,它们类似于图2中所示出的。为了简洁,这些部件在图6中未示出。
图7示出按照又一实施例的斜面蚀刻器700的示意性剖视图。如图7所述,该斜面蚀刻器700包括:壁702,具有开口或闸门704,通过其装载/卸掉基片710;基片支撑件722,用以支撑该基片710;上部金属部件720;和气体分配板718,贴附于该上部金属部件720且耦接到气体输入740,并与该基片支撑件722相对。该斜面蚀刻器700还包括;底部边缘电极或底部电极环728,由导电材料制成,如铝;底部介电环726;顶部边缘电极或顶部电极环714;顶部介电环716;和中空阴极环732,用以生成等离子以清洁该斜缘。该底部介电环726介于该基片支撑件722和该底部边缘电极728之间,并且与该基片支撑件和该底部边缘电极电绝缘。同样,该顶部介电环716设在该气体分配板718和该顶部边缘电极714之间,并且与该气体分配板和该顶部边缘电极电隔离。
在该顶部和底部边缘电极714、728之外,有顶部和底部绝缘环环712、724,其由介电材料制成,并且分别延伸该顶部和底部边缘电极714、728面向该基片710的表面。从顶部俯视,该底部介电环726具有,但不限于,环形或矩形构造。同样,从顶部俯视,该基片支撑件722、该底部边缘电极728和底部绝缘环724的外部边缘具有,但不限于,环形或矩形构造。类似地,从顶部俯视,该顶部绝缘环712、顶部边缘电极714、顶部介电环716,以及该气体分配板718的外部边缘具有,但不限于,环形或矩形构造。该气体分配板718优选地由介电材料形成。
该基片支撑件722的顶部表面,该基片710的底部表面和该底部介电环726的顶部突出部形成封闭的真空区域,其类似于该真空区域330(图3),其中该基片支撑件722起到真空卡盘的作用。该基片支撑件722包括如先前讨论的销单元。在一个变化中,该斜面蚀刻器700可包括设在该基片支撑件722上的静电卡盘。如另一变化,该上部和下部电极总成706、708可与图2所述相同。在又一变化中,该基片支撑件722可由具有在其顶部表面形成的介电层的导电材料制成。在又一变化中,该基片支撑件722所有部分由介电材料形成。
该中空阴极环732,其由导电材料(如铝)制成,位于该绝缘环712、724外侧。该中空阴极环732具有面向该斜缘的通道734。该通道734的宽度优选地大于例如大约1.5cm。注意,该中空阴极环732在装载/卸掉该基片710过程中凭借合适的装置(图7中未示)在垂直方向移动。
该中空阴极环732耦接到RF电源730,该顶部和底部边缘电极714、728两者都接地。该RF电源提供频率范围为~2MHz至~13MHz的RF功率,例如。在一个变化中,该顶部边缘电极714耦接至RF电源,而该底部边缘电极728和该中空阴极环732接地。在另一个变化中,该底部边缘电极728耦接至RF电源,而该顶部边缘电极714和该中空阴极环732接地。
该电容耦合等离子可用来清洁该室壁702内部。为了生成用以清洁该内部的等离子,需要高频RF功率,例如,其频率范围从~27MHz至~60MHz。在图7实施例的一个变化中,该顶部边缘电极714耦接至低频(~2MHz至~13MHz)RF电源,而该底部边缘电极728耦接至高频RF电源,以及该中空阴极环732接地。在另一个变化中,该顶部边缘电极714耦接至高频RF功率,而该底部边缘电极728耦接至低频RF电源,该中空阴极环732接地。在又一变化中,该顶部边缘电极714和该中空阴极环732接地,而该底部边缘电极728既连接至高频RF电源又连接至低频RF电源。
注意,该斜面蚀刻器700包括上电极支撑件701、间隙驱动总成、平面度调节总成和金属波纹管,它们与图2中那些类似。为了简洁,这些部件在图7中未示出。
图8示出按照另一实施例的斜面蚀刻器800的示意性剖视图。该斜面蚀刻器800的部件类似于图7中那些。一个不同之处是感应线圈818围绕该基片边缘以及该顶部边缘电极810和该底部边缘电极816之间的间隙。该感应线圈818是嵌入在介电材料814中,介电材料814耦接至介电支撑件812。该介电支撑件812包括合适的机构(图8中未示),用以在装载/卸掉该基片808期间在垂直方向移动该介电材料814和线圈818。
该感应线圈818耦接至RF电源806。在该斜缘清洁工艺期间,该RF电源806提供范围在,但不限于,~2MHz至~13MHz的RF功率以在该基片边缘附近生成感应等离子。该顶部边缘电极810和该底部边缘电极816接地以为该感应等离子提供返回路径。该感应线圈818提供清洁等离子以清洁该斜缘。在一个变化中,该感应线圈818还耦接至高频RF电源以生成用以清洁该室壁802内壁的等离子。
注意该斜面蚀刻器800包括上电极支撑件804、间隙驱动总成、平面度调节总成和金属波纹管,它们与图2中那些类似。为了简洁,这些部件在图8中未示。还注意,该斜面蚀刻器800具有类似于图7那些的变换。例如,该顶部和底部边缘电极810、816可用来生成用于清洁该壁802内部的等离子。该顶部边缘电极810可耦接至高频(~27MHz至~60MHz)RF电源,而该底部边缘电极816接地。在另一例子中,该顶部边缘电极810接地,而该底部边缘电极816耦接至高频RF电源。
注意,图2中的该上电极支撑件218、间隙驱动总成224和平面度调节总成220可与别的上电极总成构造一起使用,只要该上电极总成能够正确地固定于该上电极支撑件218。还注意,该上电极支撑件218、平面度调节总成220和上电极总成211可以具有通过它们的任何合适数目的气体通道或气体输入。
图9示出说明组装该斜面蚀刻器200示范性步骤的流程图。在步骤902,将该下电极总成211安装在该底部室壁202b上,并且通过合适的校正机构在x和y方向校正。然后,在步骤904,将该定心器506安装在该下电极总成211的该通电电极212上。接着,在步骤906,将该上部金属部件208设在该定心器506上。该上部电极环320可通过将其外部凸缘320a安装在外部介电环322的凸缘322a上方并将螺栓326拧进该外部介电环322(图3和5)而夹紧抵靠金属部件208,类似地,下部电极环308可通过将其外部凸缘308a安装在该外部介电环310的凸缘310a下方并将螺栓316拧进该外部介电环310而夹紧抵靠金属衬垫312。接着,在步骤908,安装该顶部室壁202a和上电极支撑件218。该上电极支撑件218通过一个或多个销与该上部金属部件208对准,并且通过一个或多个紧固件(图2中未示)固定于该上部金属部件208。在步骤910,金属波纹管250贴附于该顶部室壁202a和上电极支撑件218。该金属波纹管250在该室壁202a和上电极支撑件218之间形成真空密封,同时允许该上电极支撑件218相对于该壁202a垂直移动。然后,在步骤912,安装该间隙驱动总成224。接着,在步骤914,将该垫板236安装或者利用该紧固件270松连接浮动安装在该间隙驱动总成的该上间隙驱动板234上。在步骤916,安装该平面度调节总成220。在这个步骤,该平面度调节总成220的该圆柱部分272通过一个或多个销与上电极支撑件218对准并通过一个或多个紧固件266固定于该上电极支撑件218。拧紧该紧固件270以将该垫板236固定于该上间隙驱动板234。并且,该平面度板222利用锁紧螺栓406(图4)松连接设在该垫板236上。接着,在步骤918,该定心器506由该上部介电板210替换。在安装介电板210时,该内部PEZ环还通过将凸缘318安装在凸缘210a(图3)下面来安装,以及安装一个或多个紧固件266以将该上部介电板210固定于该上电极支撑件218。该紧固件266具有螺纹末端,其与形成在该上部介电板210内的螺纹孔啮合。这样,该紧固件266将该平面度调节总成220和上电极支撑件218固定于该上电极总成207,从而这三个部件作为一个整体移动。在步骤920,转动这三个校正螺杆404以调节该上电极总成207相对该下电极总成211的倾角。在完成平面度调节之后,拧紧该锁紧螺栓406以将该平面度板222固定于该垫板236。最后,在步骤922,拧紧该紧固件270(位于板236的孔中,该孔比螺栓杆宽)以将该垫板236固定于该上间隙驱动板234。
尽管本发明参照其具体实施例来详细描述,但是对于本领域技术人员来说,显然可进行多种不同的改变和修改,以及应用等同方式,而不背离所附权利要求的范围。

Claims (19)

1.一种用于等离子清洁半导体基片的斜面蚀刻器,包括:
具有内部的室,在其中等离子清洁半导体基片的斜缘;
下电极总成,具有顶部表面并适于支撑具有斜缘的基片;
上电极总成,包括底部表面,其对着该顶部表面并且与该顶部表面隔开以形成用于在其中容纳基片的间隙,该下和上电极总成可运行以生成在运行期间清洁该斜缘的等离子;和
至少一个机构支撑该上电极总成、下电极总成或者该上、下电极总成两者,并且适于调节该底部表面相对顶部表面的倾角、水平位移或该倾角和该水平位移两者;
其中,(a)该下电极总成包括在运行期将该基片夹紧在合适的位置的静电卡盘以及支撑件,该静电卡盘设置在该支撑件上;或(b)该蚀刻器进一步包括部分围绕该上和下电极总成的室壁,以及金属波纹管,其固定于该室壁和该机构,并且可运行以在它们之间形成真空密封,同时允许该机构相对于该室壁垂直移动。
2.根据权利要求1所述的斜面蚀刻器,其中该机构包括固定于该上电极总成的平面度板和多个校正螺杆,每个校正螺杆在转动时使得该平面度板相对于该下电极总成倾斜,从而该底部表面相对于该顶部表面倾斜。
3.根据权利要求2所述的斜面蚀刻器,其中:(a)该机构包括垫板,其固定于并且设在该平面度板下方,其中该垫板适于在平行于该顶部表面的平面上滑动,从而该上电极总成相对于该下电极总成平移;或(b)间隙驱动总成,其固定于该平面度板并且可运行以在该顶部表面的法向移动该平面度板由此在该法向上调节该顶部和底部表面之间的间隙。
4.根据权利要求3所述的斜面蚀刻器,其中该间隙驱动总成包括:
多个滑动安装块,固定于该平面度板,每个滑动安装块具有相对该法向倾斜的底部表面;
滑动部件,包括具有顶部表面的多个块驱动板,该滑动安装块底部表面能够沿着其滑动以使得该安装块在该法向移动;
具有输出轴的马达;
耦接至该输出轴的螺杆;和
执行器,耦接至该螺杆和该滑动部件,并且可运行以将该输出轴的旋转运动转换为该滑动部件的滑动,由此影响该平面度板沿该法向的运动。
5.根据权利要求1所述的斜面蚀刻器,其中该机构包括至少一个穿过该机构延伸至该上电极总成的工艺气体通道。
6.根据权利要求5所述的斜面蚀刻器,其中该上电极总成包括:
固定于该机构的上部金属部件;和
固定于并设在该上部金属部件下方的上部介电板。
7.根据权利要求6所述的斜面蚀刻器,其中:(a)该上部金属部件和上部介电板包括至少一个耦接至该工艺气体通道的孔,形成气体出口,其中将工艺气体通过该气体出口引入该间隙;或(b)该上部介电板包括至少一个测量该间隙垂直高度的间隙传感器。
8.根据权利要求7所述的斜面蚀刻器,其中该间隙传感器选自电感、激光、电容、声学和线性差动变压器传感器。
9.根据权利要求1所述的斜面蚀刻器,其中该下电极总成包括围绕该顶部表面并设在其下方的下部电极环,该上电极总成包括围绕该底部表面的上部电极环。
10.根据权利要求9所述的斜面蚀刻器,其中:(a)该上部和下部电极环之一接地,另一个耦接至射频(RF)电源,用以在运行期间提供RF功率以生成该等离子;或(b)中空阴极环沿该斜缘设置,其中该中空阴极环和该上部和下部电极环之一耦接至射频(RF)电源用以提供RF功率以生成该等离子,而其他的接地;或(c)感应线圈,其耦接至射频(RF)电源,同心围绕该斜缘并且可运行以在从该电源提供RF功率时生成该等离子,其中该上部和下部电极环接地。
11.根据权利要求1所述的斜面蚀刻器,其中该下电极总成包括:
卡盘体;和
介电环,其围绕该卡盘体的上部边缘以形成被该卡盘体的顶部表面和该介电环围绕的真空区域,该介电环适于支撑该基片从而该基片的底部表面封闭该真空区域;
其中,运行期间,该真空区域由真空泵排空以将该基片保持在该介电环上合适的位置。
12.根据权利要求11所述的斜面蚀刻器,其中:(a)该卡盘体的顶部部分由介电材料形成;或(b)该下电极总成包括围绕该顶部表面并设在其下方的下部电极环,该上电极总成包括围绕该底部表面的上部电极环,该上部和下部电极环接地,其中该卡盘体由耦接至射频(RF)电源的导电材料组成,用以在运行期间提供RF功率以生成该等离子。
13.根据权利要求2所述的斜面蚀刻器,其中该机构包括固定于该上电极总成的平面度板,和固定于并设在该平面度板下方的垫板,其中该垫板适于在平行于该顶部表面的平面上滑动,从而该上电极总成相对该下电极总成水平平移。
14.根据权利要求13所述的斜面蚀刻器,进一步包括:
间隙驱动总成,其固定于该平面度板并可运行以在正交于该顶部表面的垂直方向移动该平面度板以便在该垂直方向调节该顶部和底部表面之间的间隙。
15.根据权利要求14所述的斜面蚀刻器,其中该间隙驱动总成包括:
多个滑动安装块,其固定于该平面度板,每个滑动安装块具有相对于该垂直方向倾斜的底部表面;
滑动部件,其包括多个具有顶部表面的块驱动板,该滑动安装块底部表面可沿该顶部表面滑动使得该安装块在该垂直方向移动;
具有输出轴的马达;
耦接至该输出轴的螺杆;和
执行器,其耦接至该螺杆和该滑动部件,并且可运行以将该输出轴的旋转运动转换为该滑动部件的滑动,由此影响该平面度板沿该垂直方向的运动。
16.一种组装根据权利要求1的斜面蚀刻器的方法,其中该机构包括多个校正螺杆,包括:
校正该下电极总成;
将该上电极总成设在该下电极总成上方;
将该机构固定于该上电极总成;和
转动至少一个校正螺杆以调节该底部表面相对于该顶部表面的倾角。
17.根据权利要求16所述的方法,其中该上电极总成包括固定于该机构的上部金属部件和固定于并设在该上部金属部件下方的上部介电板,其中设置该上电极总成的步骤包括:
将定心器安装在该下电极总成上;和
将该上部金属部件安装在该定心器上,其中将该机构固定于该上电极总成的步骤包括:
将该机构设在该上部金属部件上,将该机构固定于该上部金属部件以将该上电极总成悬置在该下电极总成上方;
去除该定心器;和
将该上部介电板固定于该上部金属部件。
18.一种清洁半导体基片的斜缘的方法,包括:
将半导体基片装载进根据权利要求1所述的该斜面蚀刻器;
将工艺气体喷射进该顶部和底部表面之间的间隙;和
将该工艺气体激发为等离子以清洁该基片的斜缘。
19.根据权利要求18所述的方法,其中该半导体基片选自晶片、平面显示器或电路板。
CN2008800032471A 2007-01-26 2008-01-24 带有间隙控制的斜面蚀刻器 Active CN101589458B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/698,191 US7858898B2 (en) 2007-01-26 2007-01-26 Bevel etcher with gap control
US11/698,191 2007-01-26
PCT/US2008/000940 WO2008091668A1 (en) 2007-01-26 2008-01-24 Bevel etcher with gap control

Publications (2)

Publication Number Publication Date
CN101589458A CN101589458A (zh) 2009-11-25
CN101589458B true CN101589458B (zh) 2011-07-13

Family

ID=39644816

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800032471A Active CN101589458B (zh) 2007-01-26 2008-01-24 带有间隙控制的斜面蚀刻器

Country Status (6)

Country Link
US (1) US7858898B2 (zh)
JP (1) JP5248526B2 (zh)
KR (1) KR101433411B1 (zh)
CN (1) CN101589458B (zh)
TW (1) TWI419225B (zh)
WO (1) WO2008091668A1 (zh)

Families Citing this family (258)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8268116B2 (en) 2007-06-14 2012-09-18 Lam Research Corporation Methods of and apparatus for protecting a region of process exclusion adjacent to a region of process performance in a process chamber
US8137501B2 (en) 2007-02-08 2012-03-20 Lam Research Corporation Bevel clean device
US8257548B2 (en) * 2008-02-08 2012-09-04 Lam Research Corporation Electrode orientation and parallelism adjustment mechanism for plasma processing systems
US8438712B2 (en) * 2008-02-08 2013-05-14 Lam Research Corporation Floating collar clamping device for auto-aligning nut and screw in linear motion leadscrew and nut assembly
US8273178B2 (en) * 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US8323523B2 (en) 2008-12-17 2012-12-04 Lam Research Corporation High pressure bevel etch process
US8262923B2 (en) * 2008-12-17 2012-09-11 Lam Research Corporation High pressure bevel etch process
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US9275838B2 (en) * 2009-09-02 2016-03-01 Lam Research Corporation Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8501283B2 (en) * 2010-10-19 2013-08-06 Lam Research Corporation Methods for depositing bevel protective film
US20120318455A1 (en) * 2011-06-14 2012-12-20 Andreas Fischer Passive compensation for temperature-dependent wafer gap changes in plasma processing systems
CN102847752A (zh) * 2011-06-30 2013-01-02 深圳富泰宏精密工业有限公司 压合装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013016941A1 (zh) * 2011-07-29 2013-02-07 无锡华瑛微电子技术有限公司 可调式半导体处理装置及其控制方法
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US10157729B2 (en) * 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US20140007901A1 (en) * 2012-07-06 2014-01-09 Jack Chen Methods and apparatus for bevel edge cleaning in a plasma processing system
US9184030B2 (en) * 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US20140141619A1 (en) * 2012-11-19 2014-05-22 Tokyo Electron Limited Capacitively coupled plasma equipment with uniform plasma density
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9301723B2 (en) 2013-03-15 2016-04-05 Covidien Lp Microwave energy-delivery device and system
US9161814B2 (en) 2013-03-15 2015-10-20 Covidien Lp Microwave energy-delivery device and system
US9119650B2 (en) 2013-03-15 2015-09-01 Covidien Lp Microwave energy-delivery device and system
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
JP6383729B2 (ja) * 2013-10-02 2018-08-29 ギガフォトン株式会社 レーザ装置
US10937634B2 (en) * 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
CN103745902A (zh) * 2013-12-16 2014-04-23 深圳市华星光电技术有限公司 Pecvd处理装置及在基板上进行pecvd处理的方法
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US9290843B2 (en) 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US10186450B2 (en) 2014-07-21 2019-01-22 Asm Ip Holding B.V. Apparatus and method for adjusting a pedestal assembly for a reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9633862B2 (en) 2015-08-31 2017-04-25 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus and semiconductor manufacturing method
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10533251B2 (en) * 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10813692B2 (en) 2016-02-29 2020-10-27 Covidien Lp 90-degree interlocking geometry for introducer for facilitating deployment of microwave radiating catheter
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102269342B1 (ko) * 2016-12-19 2021-06-28 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11462387B2 (en) * 2018-04-17 2022-10-04 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11211282B2 (en) * 2018-06-15 2021-12-28 Applied Materials, Inc. Apparatus to reduce contamination in a plasma etching chamber
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
JP7475337B2 (ja) * 2018-09-28 2024-04-26 アプライド マテリアルズ インコーポレイテッド 動的水平化を備えた同軸リフト装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10903050B2 (en) 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR102697639B1 (ko) 2019-08-16 2024-08-22 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
WO2021071999A1 (en) * 2019-10-10 2021-04-15 Lam Research Corporation Semiconductor substrate bevel cleaning
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
KR102116474B1 (ko) * 2020-02-04 2020-05-28 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN114446748B (zh) * 2020-10-30 2024-05-10 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其工作方法
CN114582691A (zh) * 2020-11-18 2022-06-03 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其调节方法
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN115621108A (zh) * 2021-07-16 2023-01-17 长鑫存储技术有限公司 半导体制造设备及半导体制造设备腔室沉积物清除方法
KR102580584B1 (ko) * 2021-08-25 2023-09-21 피에스케이 주식회사 기판 처리 장치 및 유전체 판 정렬 방법
KR102589182B1 (ko) * 2021-08-31 2023-10-16 피에스케이 주식회사 기판 처리 장치 및 유전체 판 정렬 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114068271B (zh) * 2021-11-15 2023-10-20 长鑫存储技术有限公司 上电极结构及半导体加工设备
JP2024070682A (ja) * 2022-11-11 2024-05-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1779924A (zh) * 2004-09-03 2006-05-31 兰姆研究有限公司 晶片斜面聚合物去除

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4899195A (en) * 1988-01-29 1990-02-06 Ushio Denki Method of exposing a peripheral part of wafer
US4875989A (en) * 1988-12-05 1989-10-24 Texas Instruments Incorporated Wafer processing apparatus
JPH02192717A (ja) 1989-01-20 1990-07-30 Sharp Corp レジスト除去装置
US5213650A (en) * 1989-08-25 1993-05-25 Applied Materials, Inc. Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
SG67879A1 (en) * 1991-08-22 1999-10-19 At & T Corp Removal of substrate perimeter material
JP3151014B2 (ja) 1991-09-20 2001-04-03 住友精密工業株式会社 ウエーハ端面のエッチング方法とその装置
SE501894C2 (sv) * 1993-10-13 1995-06-12 Kvaerner Pulping Tech Förfarande och anordning för inmixning av fluid i en massasuspension
JPH07142449A (ja) 1993-11-22 1995-06-02 Kawasaki Steel Corp プラズマエッチング装置
JP3521587B2 (ja) * 1995-02-07 2004-04-19 セイコーエプソン株式会社 基板周縁の不要物除去方法及び装置並びにそれを用いた塗布方法
DE19622015A1 (de) * 1996-05-31 1997-12-04 Siemens Ag Verfahren zum Ätzen von Zerstörungszonen an einem Halbleitersubstratrand sowie Ätzanlage
JP2000186000A (ja) * 1998-12-22 2000-07-04 Speedfam-Ipec Co Ltd シリコンウェーハ加工方法およびその装置
US6241825B1 (en) * 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6436303B1 (en) * 1999-07-21 2002-08-20 Applied Materials, Inc. Film removal employing a remote plasma source
US7204887B2 (en) * 2000-10-16 2007-04-17 Nippon Steel Corporation Wafer holding, wafer support member, wafer boat and heat treatment furnace
JP2002334862A (ja) * 2001-05-10 2002-11-22 Mitsubishi Electric Corp 半導体装置の製造方法およびその製造方法に用いる半導体基板の洗浄装置
KR20030002241A (ko) 2001-06-30 2003-01-08 주식회사 하이닉스반도체 웨이퍼 에지 세정 장치
KR100442194B1 (ko) * 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
US6837967B1 (en) * 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US20040137745A1 (en) * 2003-01-10 2004-07-15 International Business Machines Corporation Method and apparatus for removing backside edge polymer
US7615131B2 (en) * 2003-05-12 2009-11-10 Sosul Co., Ltd. Plasma etching chamber and plasma etching system using same
KR100585089B1 (ko) * 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
KR100585198B1 (ko) * 2003-07-18 2006-06-01 위순임 웨이퍼 에지 처리용 플라즈마 발생장치
KR100558925B1 (ko) * 2003-11-24 2006-03-10 세메스 주식회사 웨이퍼 에지 식각 장치
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
KR100610010B1 (ko) 2004-07-20 2006-08-08 삼성전자주식회사 반도체 식각 장치
KR100636917B1 (ko) 2004-08-17 2006-10-19 주식회사 하이닉스반도체 플라즈마를 이용한 웨이퍼 에지 세정 장치
KR100696955B1 (ko) 2004-10-28 2007-03-20 주식회사 하이닉스반도체 웨이퍼 에지의 베벨 식각 장치 및 그를 이용한 베벨 식각방법
KR20060089886A (ko) * 2005-02-03 2006-08-10 삼성전자주식회사 반도체 식각장치 및 그 정전척

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1779924A (zh) * 2004-09-03 2006-05-31 兰姆研究有限公司 晶片斜面聚合物去除

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开平7-142449A 1995.06.02

Also Published As

Publication number Publication date
US20080179297A1 (en) 2008-07-31
US7858898B2 (en) 2010-12-28
JP5248526B2 (ja) 2013-07-31
TWI419225B (zh) 2013-12-11
KR20090106636A (ko) 2009-10-09
JP2010517297A (ja) 2010-05-20
TW200845187A (en) 2008-11-16
CN101589458A (zh) 2009-11-25
WO2008091668A1 (en) 2008-07-31
KR101433411B1 (ko) 2014-08-26

Similar Documents

Publication Publication Date Title
CN101589458B (zh) 带有间隙控制的斜面蚀刻器
JP5248525B2 (ja) 構成自在ベベルエッチャ
US10832923B2 (en) Lower plasma-exclusion-zone rings for a bevel etcher
KR102363640B1 (ko) 베벨 에처용 튜닝가능한 상부 플라즈마―배제―존 링
TWI695413B (zh) 用於處理基板的裝置及用於該裝置的基板邊緣環的升降解決方案
JP4981141B2 (ja) プラズマ処理装置、シャワーヘッド電極組立体及び半導体基板の処理方法
US12094752B2 (en) Wafer edge ring lifting solution
US8568554B2 (en) Movable gas introduction structure and substrate processing apparatus having same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant