CN1779924A - 晶片斜面聚合物去除 - Google Patents

晶片斜面聚合物去除 Download PDF

Info

Publication number
CN1779924A
CN1779924A CN200510113276.1A CN200510113276A CN1779924A CN 1779924 A CN1779924 A CN 1779924A CN 200510113276 A CN200510113276 A CN 200510113276A CN 1779924 A CN1779924 A CN 1779924A
Authority
CN
China
Prior art keywords
wafer
plasma
etching
clean air
lifting pin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200510113276.1A
Other languages
English (en)
Other versions
CN100580891C (zh
Inventor
J·常
A·费舍尔
P·勒温哈德特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1779924A publication Critical patent/CN1779924A/zh
Application granted granted Critical
Publication of CN100580891C publication Critical patent/CN100580891C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一种半导体器件的形成方法。将具有置于光致抗蚀剂掩膜下的介电层的晶片放置在蚀刻室中。蚀刻阶电层。升起晶片。提供清洁气体。从清洁气体形成等离子体。使用从清洁气体获得的等离子体去除晶片斜面上的聚合物。从蚀刻室移出晶片。

Description

晶片斜面聚合物去除
技术领域
本发明涉及基于半导体的器件的制造。更具体地,本发明涉及用于制造具有蚀刻介电层的基于半导体的器件的改进技术。
背景技术
在处理半导体晶片的过程中,使用公知的图形化和蚀刻工艺在晶片中定义半导体器件的特征。在这些工艺中,在晶片上的介电层上沉积光致抗蚀剂(PR)材料然后将其暴露于通过光刻版过滤的光。光刻版通常是利用阻止光穿过光刻版传播的典型特征的几何图形图案化的玻璃板。
在通过光刻版之后,光接触光致抗蚀剂表面。光改变光致抗蚀剂材料的化学成分使得显影剂能去除一部分光致抗蚀剂材料。就正光致抗蚀剂材料而言,去除曝光区域,就负光致抗蚀剂材料而言,去除未曝光的区域。此后,蚀刻介电层以从不再受光致抗蚀剂保护的区域去除下面的介电层材料,并且因此在介电层中限定所希望的特征。
发明内容
根据本发明的目的为了获得前述的和其他的目的,提供了一种用于形成半导体器件的方法。在蚀刻室中放置在光致抗蚀剂掩膜下设置的具有介电层的晶片。蚀刻介电层。升起晶片。提供清洗气体。由清洗气体形成等离子体。使用从清洗气体获得的等离子体去除形成在晶片斜面上的聚合物。从蚀刻室移出晶片。
在本发明的另一表现形式中,提供一种用于处理形成在晶片上的半导体器件的设备。提供蚀刻室。将气体源与蚀刻室流体连接。将等离子体能量源与蚀刻室耦合。将晶片支架放置在蚀刻室中。将用于升高晶片支架上方晶片的陶瓷顶升杆置于蚀刻室中。
在本发明的另一表现形式中,提供一种用来升高蚀刻机中晶片的设备,其包括蚀刻室、气体源、用于激发来自气体源的气体的等离子体能量源、晶片支架和致动器。升高晶片的设备包括机械连接到该致动器的多个陶瓷杆,使得该致动器能够升高和降低这些陶瓷杆。
在下面的结合附图的本发明的详细说明中将更详细地描述本发明的这些和其它特征。
附图说明
本发明通过例子的方式、但不是通过限定的方式说明,在附图中的图中相同的附图标记表示相似的元件:
图1是在本发明实施例中使用的工艺流程图。
图2A-D是根据图1的工艺的处理的晶片的示意性侧视图。
图3是在本发明实施例中使用的处理室的示意图。
图4A-B是可用作控制器的计算机系统的示意图。
具体实施方式
现将参考附图中显示的几个优选实施例详细描述本发明。在下面的说明中,为了提供对本发明的彻底理解,阐述了大量特定的细节。但是,本领域技术人员应当清楚,本发明可以在没有这些特定细节中的一部分或全部的情况下实施。另一方面,为了避免不必要地本发明不清楚,将不再详细描述公知的工艺步骤和/或结构。
为了便于理解,图1是在本发明实施例中使用工艺的高级流程图。在晶片上形成介电层(步骤104)。图2A是在晶片204的外缘206处的晶片204的截面图。弯曲外缘206形成斜面207。在晶片204上形成介电层208(步骤104)。在介电层208上形成光致抗蚀剂掩膜212(步骤108)。在这个实施例中,在形成光致抗蚀剂掩膜212之前,在介电层208之上设置抗反射涂层(ARC)210,例如BARC,使得ARC210在介电层208和光致抗蚀剂掩膜212之间。可以在介电层208和光致抗蚀剂掩膜212之间设置其它层。
在蚀刻室中放置晶片204(步骤112)。图3是可以在本发明的该实施例中使用的用于蚀刻、剥离和清洗的等离子体处理室300的示意图。等离子体处理室300包括限制环302、上电极304、下电极308、气体源310和排气泵320。在等离子体处理室300中,晶片204定位在下电极308之上。下电极308与用于固定晶片204的合适的衬底卡盘机械装置(例如静电、机械夹钳等)结合,使得下电极308也是卡盘和晶片支架。反应器顶部328结合了直接与下电极308相对放置的上电极304。上电极304、下电极308和限制环302限定了受限等离子体体积。气体通过气体源310供应到受限等离子体体积并通过排气泵320经由限制环302和排气口从受限等离子体体积中排出。第一RF源344电连接到上电极304。第二RF源348电连接到下电极308。室壁352环绕限制环302、上电极304和下电极308。顶升杆356安装在顶升杆基座360上,基座360安装在由致动器368提供动力的轴364上。致动器368可控制地连接到控制器335。第一RF源344和第二RF源348均可以包括27MHz电源和2MHz电源。将RF源连接到电极的不同组合是可能的。在可用于本发明的优选实施例中的由California、Fremont的LAM ResearchCorporationTM制造的2300 FlexTM或Exelan HPT或2300TMExelan的情况下,27MHz的电源和2MHz的电源二者构成连接到下电极的第二RF点源348,且上电极接地。控制器335可控制地连接到RF源344、348、排气泵320、致动器368和气体源310。已经将这些装置修改成使得顶升杆356不导电(为电绝缘体)。此外,顶升杆是抗蚀刻的。当晶片升起离开晶片支架304时,致动器将穿过晶片支架的顶升杆升高以使得顶升杆接触晶片。
图4A和4B说明了适于实现在本发明实施例中使用的控制器335的计算机系统1300。图4A示出了该计算机系统的一种可能的外形。当然,计算机系统可具有从集成电路、印刷电路板和小型手持设备到超巨型计算机等多种外形。计算机系统1300包括监视器1302、显示器1304、机箱1306、盘驱动器1308、键盘1310和鼠标1312。盘1314是用于传输数据给计算机系统1300或从其传输数据的计算机可读介质。
图4B是计算机系统1300的框图例子。连接到系统总线1320的是多种子系统。(多个)处理器1322(也称为中央处理单元或CPU)耦合到包括存储器1324的存储设备。存储器1324包括随机存取存储器(RAM)和只读存储器(ROM)。众所周知,ROM用于单向地传输数据和指令到CPU,RAM通常用于以双向的方式传输数据和指令。这些类型的存储器均包括任何适当的下述的计算机可读介质。固定盘1326也双向耦合到CPU1322;它提供额外的存储容量并且也包括任何下述计算机可读介质。固定盘1326可用于存储程序、数据等,并且通常是比主存储器慢的二次存储介质(例如硬盘)。应当清楚,在合适的例子中,保存在硬盘1326中的信息可以以标准方式作为虚拟存储器结合在存储器1324中。可移动盘1314可采用下面描述的计算机可读介质的任何形式。
CPU1322也耦合到多种输入/输出设备,例如显示器1304、键盘1310、鼠标1312和扬声器1330。通常,输入/输出设备可是:视频显示器、跟踪球、鼠际、键盘、话筒、触摸式显示屏、传感器读卡器、磁带或纸带阅读器、书写板、记录笔、声音或笔迹识别器、生物测定阅读器或其它计算机。CPU1322选择性地耦合到另一个计算机或使用网络接口1340耦合到电信网络。由于有这样的网络接口,在进行上述的方法步骤的期间,预期CPU可以从网络接收信息或输出信息到网络。此外,本发明的方法实施例可以单独在CPU1322上执行,或在结合了共享该处理的一部分的远程CPU的网络(如Internet)上执行。
此外,本发明实施例进一步涉及具有计算机可读介质的计算机存储产品,该计算机可读介质上具有执行多种计算机实现的操作的计算机代码。介质和计算机代码可以是为了本发明的目的特别设计和构造的,或它们可以是对于计算机软件领域的技术人员公知的或者可获得的。计算机可读介质的例子包括但不局限于:闪存卡,磁介质,例如硬盘、软盘和磁带;光学介质,例如CD-ROM和全息装置;磁光介质,例如可光读盘;和为存储和执行程序代码专门配置的硬件设备,例如,专门用途集成电路(ASIC)、可编程逻辑器件(PLD)和ROM和RAM器件。计算机代码的例子包括例如通过编译器产生的机器代码,和包含由使用解释程序的计算机执行的高级代码的文件。计算机可读介质也可以是通过计算机数据信号发送的计算机代码,所述计算机数据信号的形式为载波并且表示处理器执行的一序列指令。
蚀刻介电层208(步骤116)。如图2B所示,介电层蚀刻导致在晶片204斜面207上形成聚合物224。如图2C所示,然后将光致抗蚀剂掩膜212从晶片剥离(步骤118)。优选地,在蚀刻室中原位地完成剥离。除了去除光致抗蚀剂掩膜之外,剥离工艺还去除斜面207上的一些聚合物224。但是,一些聚合物224没有从斜面207上去除。如图示,由于剥离的几何形状,相信斜面底部上的聚合物207比斜面顶部上的聚合物更容易保留。也就是说,暴露到等离子体源的晶片一侧上的剥离更有效,而等离子体不能有效到达背离等离子体源的晶片部分。优选地,然后淬灭剥离等离子体,当然本发明的其它实施例可以贯穿清洁步骤提供连续的等离子体,而不是淬灭等离子体和再激发等离子体。
剥离等离子体淬灭之后,致动器368使得晶片轴364和晶片基座360升高晶片顶升杆356,它升高晶片204离开晶片支架308(步骤120)。然后,提供清洁气体到蚀刻室内(步骤124)。等离子体从清洁气体放电(步骤128)。
晶片的正面是形成光致抗蚀剂掩膜的晶片一侧。在处理室中,优选晶片正面面向处理室的顶并背离晶片支架。晶片的背面与正面相对。在处理室中,晶片背面优选面向晶片支架。
优选晶片升高足够的高度,使得在晶片204和晶片背面的晶片支架308之间产生一些等离子体。优选晶片也不升得太高。这使得在晶片204和晶片正面上处理室顶之间能够产生等离子体。然后,如图2D所示,使用来自清洗气体的等离子体清洁晶片斜面(步骤132)。
然后,从处理室移出晶片(步骤136)。优选地,通过进一步将晶片升高到一点(晶片随后在该处被移出处理室)来完成。在其它的实施例中,在晶片可容易地从处理室移出的顶升杆高度处点燃等离子体,使得当晶片通过顶升杆将晶片升高到移出高度时完成斜面清洁。结果,完成斜面清洁后,无需额外升高顶升杆就将晶片移出。
例子
在本发明的一个实例中,在晶片上形成介电层。在这个例子中,介电层是低k(k<3.0)或多孔电介质,例如Coral(步骤104)。在介电层上形成光致抗蚀剂掩膜(步骤108)。在这个例子中,在形成光致抗蚀剂掩膜之前形成BARC的ARC层。光致抗蚀剂掩膜由193nm的抗蚀剂制成。然后,将晶片置于蚀刻室中(步骤112)。在这个例子中,蚀刻室是Exelan2300。该蚀刻室能提供蚀刻、剥离和本发明的原位清洁。该处理室具有三个顶升杆。
蚀刻介电层(步骤116)。介电层蚀刻配方的一个例子是包括Ar、CxHyFz、N2和O2的蚀刻气体。通常,在本发明使用的蚀刻步骤是在蚀刻处理气体中需要更多聚合物的蚀刻。处理气体中的这些聚合物用于维持预期的蚀刻外形。这些聚合物也引起在晶片斜面上的聚合物沉积。然后剥离光致抗蚀剂(步骤118)。剥离配方的一个例子是使用O2和N2作为剥离气体。淬灭剥离等离子体。
致动器使得晶片轴和晶片基座360来升高晶片顶升杆,其升起晶片离开晶片支架308(步骤120)。在这个例子中,晶片从晶片支架升高10mm的距离。将清洁气体提供到蚀刻室内。在这个例子中,清洁气体是2000sccm的O2。室压维持在约100mTorr到600mTorr之间。从清洁气体激发等离子体(步骤128)。在这一例子中,提供27MHz的100瓦特和2MHz的0瓦特以从清洁气体产生并维持等离子体。已经发现使用该方法在大约30秒内提供完全的清洁。优选并且相信,优化后清洁能在不到15秒完成。
在本发明的一个实施例中,清洁气体基本由氧气组成。优选洁气体至少包括含有氧气的气体。相信用于聚合物光致抗蚀剂剥离的气体化学物质可用作清洁气体。
优选通过高频源提供的功率在30到1000瓦特之间。更优选地,通过高频源提供的功率在50到500瓦特之间。最优选地,通过高频源提供的功率在100到300瓦特之间。
优选地,通过低频源(偏置功率(bias power))提供的功率在0到500瓦特之间。更优选地,通过低频源提供的功率在0到300瓦特之间。最优选地,通过低频源提供的功率在0到100瓦特之间。在清洁工艺期间低频源提供的功率保持为低以最小化偏压。
优选地,晶片从晶片支架上升起的距离大于2mm。更优选地,晶片从晶片支架上升起的距离为3到20mm。最优选地,晶片从晶片支架上升起的距离为4到10mm。
优选地,施加用于清洁的等离子体小于40秒。更优选地,施加用于清洁的等离子体小于30秒。最优选地,施加用于清洁的等离子体小于15秒。
优选顶升杆的电阻的数量级高于等离子体电阻的实部。在优选实施例中,顶升杆具有大于100欧姆的电阻。更优选地,顶升杆是电绝缘体。优选顶升杆为抗蚀刻的。在这些例子中,顶升杆是陶瓷。在清洁过程期间,当晶片在顶升杆上升高时,顶升杆的高电阻避免晶片受到损伤。
在其它实施例中,剥离步骤可省略或在斜面清洁之后发生。
虽然就几个优选实施例描述了本发明,但是存在在本发明的范围内的改变、置换和各种等效替代。还应当注意,有多种实施本发明的方法和装置的可选择的方式。因此,下面所附的权利要求解释为包括落入在本发明真实精神和范围中的任何改动、置换和各种等效替代。

Claims (20)

1、一种形成半导体器件的方法,包括:
将晶片放置在蚀刻室中,该晶片具有置于光致抗蚀剂掩膜下的介电层;
蚀刻介电层;
升起晶片;
提供清洁气体;
从清洁气体形成等离子体;
使用在升起的晶片上的来自清洁气体的等离子体去除形成在晶片斜面上的聚合物;并且
从蚀刻室移出晶片。
2、如权利要求1所述的方法,进一步包括在升起晶片之前原位剥离光致抗蚀剂掩膜。
3、如权利要求2所述的方法,其中晶片具有背面和正面,其中从清洁气体形成等离子体既邻近随晶片背面又邻近晶片正面产生等离子体。
4、如权利要求3所述的方法,其中升高晶片使用顶升杆来升高晶片。
5、如权利要求4所述的方法,其中顶升杆具有大于100欧姆的电阻。
6、如权利要求5所述的方法,其中升高晶片是将晶片在晶片支架上方升高3到20mm。
7、如权利要求6所述的方法,其中顶升杆是抗蚀刻的。
8、如权利要求7所述的方法,其中在去除形成在斜面上的聚合物期间提供小于300瓦特的偏置功率。
9、如权利要求8所述的方法,其中清洁气体是至少5%的氧气。
10、如权利要求8所述的方法,其中清洁气体基本上由氧气组成。
11、如权利要求1所述的方法,其中晶片具有正面和背面,其中从清洁气体形成等离子体既邻近晶片背面又邻近晶片正面产生等离子体。
12、如权利要求1所述的方法,其中升高晶片使用顶升杆来升高晶片。
13、如权利要求12所述的方法,其中顶升杆具有大于100欧姆的电阻。
14、如权利要求12所述的方法,其中顶升杆是抗蚀刻的。
15、如权利要求1所述的方法,其中升高晶片是将晶片在晶片支架上方升高3到20mm。
16、如权利要求1所述的方法,其中在去除形成在斜面上的聚合物期间提供小于300瓦特的偏置功率。
17、如权利要求1所述的方法,其中清洁气体是至少5%的氧气。
18、如权利要求1所述的方法,其中清洁气体基本上由氧气组成。
19、一种用来处理形成在晶片上的半导体器件的设备,包括:
蚀刻室,
与蚀刻室流体连接的气体源;
与蚀刻室耦合的等离子体能量源;
在蚀刻室中放置的晶片支架;和
陶瓷顶升杆,用于升起位于蚀刻室中的晶片支架上方的晶片。
20、一种用来在蚀刻机中升高晶片的设备,该蚀刻机包括蚀刻室、气体源、用于从气体源激发气体的等离子体能量源、晶片支架和致动器,该用于升高晶片的设备包括机械连接到致动器的多个陶瓷杆,使得该致动器能升高和降低这些陶瓷杆。
CN200510113276.1A 2004-09-03 2005-09-05 晶片斜面聚合物去除 Expired - Fee Related CN100580891C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/934081 2004-09-03
US10/934,081 US7597816B2 (en) 2004-09-03 2004-09-03 Wafer bevel polymer removal

Publications (2)

Publication Number Publication Date
CN1779924A true CN1779924A (zh) 2006-05-31
CN100580891C CN100580891C (zh) 2010-01-13

Family

ID=35996820

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200510113276.1A Expired - Fee Related CN100580891C (zh) 2004-09-03 2005-09-05 晶片斜面聚合物去除

Country Status (3)

Country Link
US (1) US7597816B2 (zh)
CN (1) CN100580891C (zh)
TW (1) TWI408743B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101303537B (zh) * 2007-05-08 2011-07-13 应用材料股份有限公司 移除晶圆后侧聚合物和移除晶圆前侧光刻胶的工艺
CN101589458B (zh) * 2007-01-26 2011-07-13 朗姆研究公司 带有间隙控制的斜面蚀刻器
CN103972051A (zh) * 2014-05-20 2014-08-06 上海华力微电子有限公司 一种消除晶边颗粒残留的铝刻蚀前置工艺方法
CN104299929A (zh) * 2013-07-19 2015-01-21 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的系统和方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US7628864B2 (en) * 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7259024B2 (en) * 2005-07-07 2007-08-21 Infineon Technologies Ag Method of treating a substrate in manufacturing a magnetoresistive memory cell
US7468326B2 (en) * 2005-08-24 2008-12-23 United Microelectronics Corp. Method of cleaning a wafer
US7432209B2 (en) * 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US7718542B2 (en) * 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US20080067145A1 (en) * 2006-09-14 2008-03-20 United Microelectronics Corp. Method of recycling dummy wafer
US7740768B1 (en) * 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US8083963B2 (en) * 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
KR100935758B1 (ko) 2007-12-26 2010-01-06 주식회사 동부하이텍 반도체 소자 제조 방법
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8262923B2 (en) * 2008-12-17 2012-09-11 Lam Research Corporation High pressure bevel etch process
US8323523B2 (en) 2008-12-17 2012-12-04 Lam Research Corporation High pressure bevel etch process
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
CN102652351B (zh) 2009-12-11 2016-10-05 诺发系统有限公司 在高剂量植入剥除前保护硅的增强式钝化工艺
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US20150020848A1 (en) * 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4624728A (en) * 1985-06-11 1986-11-25 Tegal Corporation Pin lift plasma processing
US4962049A (en) 1989-04-13 1990-10-09 Applied Materials, Inc. Process for the plasma treatment of the backside of a semiconductor wafer
US5213650A (en) 1989-08-25 1993-05-25 Applied Materials, Inc. Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR100293830B1 (ko) 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
US5707485A (en) * 1995-12-20 1998-01-13 Micron Technology, Inc. Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5679215A (en) 1996-01-02 1997-10-21 Lam Research Corporation Method of in situ cleaning a vacuum plasma processing chamber
JP3163973B2 (ja) * 1996-03-26 2001-05-08 日本電気株式会社 半導体ウエハ・チャック装置及び半導体ウエハの剥離方法
US6626185B2 (en) 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US5911833A (en) 1997-01-15 1999-06-15 Lam Research Corporation Method of in-situ cleaning of a chuck within a plasma chamber
US6095158A (en) 1997-02-06 2000-08-01 Lam Research Corporation Anhydrous HF in-situ cleaning process of semiconductor processing chambers
US6235640B1 (en) * 1998-09-01 2001-05-22 Lam Research Corporation Techniques for forming contact holes through to a silicon layer of a substrate
US6491042B1 (en) * 1998-12-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Post etching treatment process for high density oxide etcher
JP3433392B2 (ja) * 1999-01-12 2003-08-04 セントラル硝子株式会社 クリーニングガス及び真空処理装置のクリーニング方法
TW440952B (en) 1999-07-12 2001-06-16 Lam Res Co Ltd Waferless clean process of dry etcher
US6852636B1 (en) 1999-12-27 2005-02-08 Lam Research Corporation Insitu post etch process to remove remaining photoresist and residual sidewall passivation
US6483690B1 (en) * 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US6991739B2 (en) * 2001-10-15 2006-01-31 Applied Materials, Inc. Method of photoresist removal in the presence of a dielectric layer having a low k-value
US6649077B2 (en) * 2001-12-21 2003-11-18 Taiwan Semiconductor Manufacturing Co. Ltd Method and apparatus for removing coating layers from alignment marks on a wafer
US7067235B2 (en) * 2002-01-15 2006-06-27 Ming Huan Tsai Bi-layer photoresist dry development and reactive ion etch method
US20070272270A1 (en) * 2004-12-27 2007-11-29 Kun-Yuan Liao Single-wafer cleaning procedure
US20060137711A1 (en) * 2004-12-27 2006-06-29 Kun-Yuan Liao Single-wafer cleaning procedure
US7468326B2 (en) * 2005-08-24 2008-12-23 United Microelectronics Corp. Method of cleaning a wafer
US8475624B2 (en) * 2005-09-27 2013-07-02 Lam Research Corporation Method and system for distributing gas for a bevel edge etcher
US7276447B1 (en) * 2006-04-11 2007-10-02 Applied Materials, Inc. Plasma dielectric etch process including ex-situ backside polymer removal for low-dielectric constant material
US7938931B2 (en) * 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US7718542B2 (en) * 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US20080067145A1 (en) * 2006-09-14 2008-03-20 United Microelectronics Corp. Method of recycling dummy wafer
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US8580078B2 (en) * 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US7981307B2 (en) * 2007-10-02 2011-07-19 Lam Research Corporation Method and apparatus for shaping gas profile near bevel edge

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101589458B (zh) * 2007-01-26 2011-07-13 朗姆研究公司 带有间隙控制的斜面蚀刻器
CN101303537B (zh) * 2007-05-08 2011-07-13 应用材料股份有限公司 移除晶圆后侧聚合物和移除晶圆前侧光刻胶的工艺
CN104299929A (zh) * 2013-07-19 2015-01-21 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的系统和方法
CN107516626A (zh) * 2013-07-19 2017-12-26 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的系统和方法
CN103972051A (zh) * 2014-05-20 2014-08-06 上海华力微电子有限公司 一种消除晶边颗粒残留的铝刻蚀前置工艺方法
CN103972051B (zh) * 2014-05-20 2016-08-17 上海华力微电子有限公司 一种消除晶边颗粒残留的铝刻蚀前置工艺方法

Also Published As

Publication number Publication date
TW200625440A (en) 2006-07-16
US20060051967A1 (en) 2006-03-09
US7597816B2 (en) 2009-10-06
CN100580891C (zh) 2010-01-13
TWI408743B (zh) 2013-09-11

Similar Documents

Publication Publication Date Title
CN100580891C (zh) 晶片斜面聚合物去除
US7491647B2 (en) Etch with striation control
TWI423348B (zh) 斜面蝕刻處理期間之低-k損壞防止技術
US7772122B2 (en) Sidewall forming processes
KR101423359B1 (ko) 베벨 세정 디바이스
KR101442269B1 (ko) 무한 선택적 포토레지스트 마스크 식각
US7682516B2 (en) Vertical profile fixing
JP2008524851A (ja) エッチマスクの特徴部の限界寸法の低減
US8283255B2 (en) In-situ photoresist strip during plasma etching of active hard mask
CN101292197A (zh) 具有减小的线条边缘粗糙度的蚀刻特征
KR101155843B1 (ko) 균일성 제어에 의한 에칭
TW200539289A (en) Waferless automatic cleaning after barrier removal
US20070181530A1 (en) Reducing line edge roughness
KR20110018318A (ko) 헬륨 디스커밍
TWI489549B (zh) 可減少損壞之低介電常數介電層蝕刻方法
CN101558479A (zh) 用于氢氟碳蚀刻的粘着层

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100113

Termination date: 20140905

EXPY Termination of patent right or utility model