US10832923B2 - Lower plasma-exclusion-zone rings for a bevel etcher - Google Patents

Lower plasma-exclusion-zone rings for a bevel etcher Download PDF

Info

Publication number
US10832923B2
US10832923B2 US15/638,319 US201715638319A US10832923B2 US 10832923 B2 US10832923 B2 US 10832923B2 US 201715638319 A US201715638319 A US 201715638319A US 10832923 B2 US10832923 B2 US 10832923B2
Authority
US
United States
Prior art keywords
ring
shaped body
radially
substrate
exclusion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US15/638,319
Other versions
US20170301566A1 (en
Inventor
Tong Fang
Yunsang Kim
Keechan Kim
George Stojakovic
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/698,190 external-priority patent/US7943007B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US15/638,319 priority Critical patent/US10832923B2/en
Publication of US20170301566A1 publication Critical patent/US20170301566A1/en
Application granted granted Critical
Publication of US10832923B2 publication Critical patent/US10832923B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Definitions

  • Integrated circuits are formed from a wafer or substrate over which are formed patterned microelectronics layers.
  • plasma is often employed to etch intended portions of films deposited on the substrate.
  • etch plasma density is lower near the edge of the substrate, which may result in accumulation of a poly-silicon layer, a nitride layer, a metal layer, etc. (collectively referred to as byproduct layer) on the top and bottom surfaces of the substrate bevel edge.
  • a method of cleaning a bevel edge of a semiconductor substrate is provided.
  • a semiconductor substrate is placed on a substrate support in a reaction chamber of a plasma processing apparatus.
  • the substrate has a dielectric layer overlying a top surface and a bevel edge of the substrate, the layer extending above and below an apex of the bevel edge.
  • a process gas is introduced into the reaction chamber and energized into a plasma.
  • the bevel edge is cleaned with the plasma so as to remove the layer below the apex without removing all of the layer above the apex.
  • a bevel etcher wherein a bevel edge of a semiconductor wafer is subjected to plasma cleaning is provided.
  • a lower support has a cylindrical top portion.
  • a lower plasma-exclusion-zone (PEZ) ring is supported on the top portion of the lower support.
  • the lower PEZ ring has an upper surface on which the wafer is supported.
  • An upper dielectric component is disposed above the lower support and has a cylindrical bottom portion opposing the top portion of the lower support.
  • An upper PEZ ring surrounds the bottom portion of the dielectric component and opposes the lower PEZ ring, an annular space between the lower and upper PEZ rings limiting the extent of the bevel edge to be cleaned by the plasma.
  • At least one radio frequency (RF) power source is adapted to energize process gas into the plasma during a cleaning operation.
  • the lower and upper PEZ rings are adapted to respectively shield the lower support and the upper dielectric component from the plasma during the cleaning operation.
  • a portion of the upper PEZ ring closest to the wafer has an outer diameter at least equal to an outer diameter of the wafer.
  • a configurable part of a bevel etcher is provided.
  • a bevel edge of a semiconductor wafer is subjected to plasma cleaning, the bevel etcher including a lower electrode assembly on which the wafer is supported during the bevel cleaning operation, an upper electrode assembly including a dielectric plate facing the lower support and attached to an upper support which is movable vertically to position the dielectric plate at a small distance from the upper surface of the wafer.
  • the upper electrode assembly includes at least one gas passage through which gas can be flowed in the vicinity of the bevel edge during the bevel cleaning operation.
  • the dielectric plate has at least one gas passage through which gas can be flowed over the surface of the wafer during the bevel cleaning operation.
  • the configurable part comprising an upper PEZ ring of electrically conductive, semiconductive or dielectric material adapted to shield the upper dielectric plate from the plasma during the cleaning operation.
  • a portion of the upper PEZ ring closest to the wafer has an outer diameter which is greater than the outer diameter of the wafer.
  • FIG. 1 shows a schematic cross sectional diagram of a bevel etching chamber.
  • FIG. 2 shows a schematic cross sectional diagram of a bevel etcher in accordance with one embodiment.
  • FIG. 3 shows an enlarged schematic diagram of region A in FIG. 2 .
  • FIGS. 4A-4B show schematic cross sectional diagrams of the configurable plasma exclusion zone (PEZ) rings in FIG. 2 .
  • FIG. 5A shows a schematic cross sectional diagram of a bevel etcher in accordance with another embodiment.
  • FIG. 5B shows an enlarged schematic diagram of region B in FIG. 5A .
  • FIG. 6 shows a schematic cross sectional diagram of a bevel etcher in accordance with yet another embodiment.
  • FIG. 7 shows a schematic cross sectional diagram of a bevel etcher in accordance with a further embodiment.
  • FIG. 8 shows a schematic cross sectional diagram of a bevel etcher in accordance with another further embodiment.
  • FIG. 9 shows a schematic cross sectional diagram of a bevel etcher in accordance with a yet further embodiment.
  • FIG. 10 shows a schematic cross sectional diagram of a bevel etcher in accordance with a still further embodiment.
  • FIGS. 11A-11E illustrate various cleaning profiles for a partial cross-sectional view of a semiconductor substrate.
  • FIGS. 12A-12C illustrate cleaning profiles for a partial cross-sectional view of a semiconductor substrate using an upper PEZ ring with the outer diameter of a lower portion less than the outer diameter of the substrate.
  • FIGS. 13A-13C illustrate cleaning profiles for a partial cross-sectional view of a semiconductor substrate using an upper PEZ ring with the outer diameter of a lower portion greater than the outer diameter of the substrate.
  • FIG. 14 illustrates a graph of etch rate as a function of radial position on a substrate using an upper PEZ ring with the outer diameter on a lower portion less than the outer diameter; and an upper PEZ ring with the outer diameter on a lower portion greater than the outer diameter of the substrate.
  • FIG. 1 shows a schematic cross sectional diagram of a bevel etching chamber 100 for etching the bevel edge of a substrate 110 .
  • the chamber 100 includes a cathode 102 coupled to an RF power source; a stage 116 for supporting a substrate 110 ; an insulating material 114 surrounding the stage 116 ; top and bottom ring-shaped electrodes 104 , 106 ; and a top insulator 108 .
  • the reaction gas is blown through one or more gas outlets 120 and energized into plasma to clean the byproduct layers 112 formed on the bevel edge of the substrate 110 .
  • the etching chamber 100 may have several difficulties in controlling the area to be cleaned.
  • a bottom edge exclusion 122 it may be necessary to change the thickness of the insulating material 114 and, as a consequence, the shape and/or location of the bottom ring-shaped electrode 106 may need to be changed. In some cases, the diameter of the entire stage 116 may need to be changed, which may result in an increase in the Cost-of-Consumables (CoC).
  • CoC Cost-of-Consumables
  • the chamber 100 does not have a mechanism to accurately control the extent of a top edge exclusion 124 . To change the extent of the top edge exclusion 124 , it may be necessary to change the outer diameter of the insulator 108 as well as the locations of the gas outlet(s) 120 and top ring-shaped electrode 104 . As such, it may be costly to accurately control the extent of edge exclusions in such etching chambers.
  • FIG. 2 there is shown a schematic cross sectional diagram of a substrate etching system or bevel etcher 200 for cleaning the bevel edge of a substrate 218 in accordance with one embodiment.
  • the bevel etcher 200 has a generally, but not limited to, axisymmetric shape and, for brevity, only half of the side cross sectional view is shown in FIG. 2 .
  • the bevel etcher 200 includes: a chamber wall 202 having a door or gate 242 for through which the substrate 218 is loaded/unloaded; an upper electrode assembly 204 ; a support 208 from which the upper electrode assembly 204 is suspended; and a lower electrode assembly 206 .
  • the support 208 moves the upper electrode assembly 204 up and down (in the direction of the double arrow) for loading/unloading the substrate 218 .
  • a precision driving mechanism (not shown in FIG. 2 ) is attached to the support 208 so that the gap between the upper electrode assembly 204 and the substrate 218 is controlled accurately.
  • Metal bellows 250 are used to form a vacuum seal between the chamber wall 202 and support 208 while allowing the support 208 to have a vertical motion relative to the wall 202 .
  • the support 208 has a center gas feed (passage) 212 and an edge gas feed (passage) 220 .
  • the gas feeds 212 , 220 provide process gas to be energized into plasma to clean the bevel edge.
  • the plasma is formed around the bevel edge of the substrate 218 and has a generally ring shape.
  • the space between an insulator plate 226 on the upper electrode and the substrate is small and the process gas is fed from the center feed, preferably through a stepped hole 214 .
  • each gas feed is used to provide the same process gas or other gases, such as buffer gas and/or purge gas.
  • the buffer gas can be injected through the center gas feed 212
  • the process gas can be injected through the edge gas feed 220 .
  • the plasma/process gas is withdrawn from the chamber space 251 to the bottom space 240 via a plurality of holes (outlets) 241 .
  • the chamber pressure is typically in the range of 500 mTorr to 2 Torr, e.g., a vacuum pump 243 can be used to evacuate the bottom space 240 during a cleaning operation.
  • the upper electrode assembly 204 includes: an upper dielectric plate or upper dielectric component 216 ; and an upper metal component 210 secured to the support 208 by a suitable fastening mechanism and grounded via the support 208 .
  • the upper metal component 210 is formed of a metal, such as aluminum, and may be anodized.
  • the upper metal component 210 has one or more edge gas passageways or through holes 222 a, 222 b and an edge gas plenum 224 , wherein the edge gas passageways 222 are coupled to the edge gas feed 220 for fluid communication during operation.
  • the upper dielectric plate 216 is attached to the upper metal component 210 and formed of a dielectric material, preferably, but not limited to, ceramic.
  • the upper dielectric plate 216 may have a coating of Y 2 O 3 .
  • a stepped hole 214 can be used instead of a deep straight hole.
  • the upper dielectric plate 216 is shown with a single center hole, the upper dielectric plate 216 may have any suitable number of outlets, e.g., the outlets can be arranged in a showerhead hole pattern if desired.
  • the lower electrode assembly 206 includes: powered electrode 226 having an upper portion 226 a and a lower portion 226 b and operative to function as a vacuum chuck to hold the substrate 218 in place during operation; lift pins 230 for moving the substrate 218 up and down; a pin operating unit 232 ; bottom dielectric ring 238 having an upper portion 238 a and a lower portion 238 b.
  • powered electrode refers to one or both of the upper and lower portions 226 a, 226 b.
  • bottom dielectric ring 238 refers to one or both of the upper and lower portions 238 a, 238 b.
  • the powered electrode 226 is coupled to a radio frequency (RF) power source 270 to receive RF power during operation.
  • RF radio frequency
  • the lift pins 230 move vertically within cylindrical holes or paths 231 and are moved between upper and lower positions by the pin operating unit 232 positioned in the powered electrode 226 .
  • the pin operating unit includes a housing around each lift pin to maintain a vacuum sealed environment around the pins.
  • the pin operating unit 232 includes any suitable lift pin mechanism, such as a robot arm 233 (e.g., a horizontal arm having segments extending into each housing and attached to each pin) and an arm actuating device (not shown in FIG. 2 ). For brevity, only a tip portion of a segment of the robot arm is shown in FIG. 2 .
  • any suitable number of pins 230 may be used in the bevel etcher 200 .
  • any suitable mechanisms, such as lifter bellows, can be used as the pin operating unit 232 .
  • the pin lifter is a multi-position pin lifter which can move the lift pins 230 to various positions.
  • the lift pins 230 can be moved vertically to four positions via the lift pin operating unit 232 as follows: (1) in the first position the pins 230 are moved to locate upper ends thereof below the top surface of the bottom electrode 226 a, (2) in the second position the pins 230 are moved to locate upper ends thereof in contact with the lower surface of a fixture installed with its bottom surface in the same plane as a wafer supported on the ring 260 and this position is monitored via a position sensor 233 a which outputs a signal to a controller to record the position as a “zero” position, (3) in the third position the pins 230 are moved to locate upper ends thereof in contact with the dielectric plate 216 and this position is monitored via the position sensor 233 a which outputs a signal to the controller to determine gap and planarity information without having to open the chamber, and (4) in the fourth position the pins 230 are moved to their
  • the lift pins are preferably moved by a common lift device such as an air cylinder or motor.
  • the gap distance could be determined when a pin contacts the dielectric plate on the upper electrode assembly.
  • each pin could be provided with some compliance relative to the common lifting yoke, e.g., each pin could be spring loaded to allow movement of each pin relative to the other pins and an individual sensor associated with each pin could output information corresponding to an individual pin's position.
  • the spring load on each lift pin is sufficient to support the weight of a wafer, i.e., the springs supporting the lift pins would not be compressed under the weight of the wafer so that during wafer transfer the lift pins are at the same height relative to each other.
  • the pins could have independent drives.
  • the substrate 218 is mounted on a lower configurable plasma-exclusion-zone (PEZ) ring 260 , wherein the term PEZ refers to a radial distance from the center of the substrate to the outer edge of the area where the plasma for cleaning the bevel edge is excluded.
  • PEZ refers to a radial distance from the center of the substrate to the outer edge of the area where the plasma for cleaning the bevel edge is excluded.
  • the top surface of the powered electrode 226 , the bottom surface of the substrate 218 , and inner periphery of the lower configurable PEZ ring 260 form an enclosed vacuum region recess (vacuum region) 219 in fluid communication with a vacuum source such as a vacuum pump 236 .
  • the cylindrical holes or paths for the lift pins 230 are also shared as gas passageways, through which the vacuum pump 236 evacuates the vacuum region 219 during operation.
  • the powered electrode 226 includes a plenum 234 to reduce temporal pressure fluctuations in the vacuum region 219 and, in cases where multiple lift pin
  • the substrate 218 On the top surface of the substrate 218 are integrated circuits formed by a series of processes. One or more of the processes may be performed by use of plasma that may transfer heat energy to the substrate, developing thermal stress on the substrate and thereby causing wafer bowing. During a bevel cleaning operation, the substrate bowing can be reduced by use of a pressure difference between the top and bottom surfaces of the substrate 218 .
  • the pressure in the vacuum region 219 is maintained under vacuum during operation by a vacuum pump 236 coupled to the plenum 234 .
  • the gas pressure in the gap can be varied without changing the overall flow rate of the process gas(es).
  • the pressure difference between the top and bottom surfaces of the substrate 218 can be varied and thereby the bending force applied on the substrate 218 can be controlled.
  • the bottom dielectric ring 238 is formed of a dielectric material, such as ceramic including Al 2 O 3 , and electrically separates the powered electrode 226 from the chamber wall 202 .
  • the lower portion 238 b of the bottom dielectric ring preferably has a step 252 formed on the inner periphery of its upper surface to mate with a recess on a lower edge of the powered electrode 226 .
  • the lower portion 238 b preferably has a step 250 formed on its outer periphery to mate with a stepped surface on the upper portion 238 a of the bottom dielectric ring, referred to as a focus ring.
  • the steps 250 , 252 align the bottom dielectric ring 238 with the powered electrode 226 .
  • the step 250 also forms a tortuous gap along the surface thereof to eliminate the direct line-of-sight between the powered electrode 226 and the chamber wall 202 thereby reducing the possibility of a secondary plasma strike between the powered electrode 226 and the chamber wall 202 .
  • FIG. 3 shows an enlarged schematic diagram of region A in FIG. 2 .
  • the upper electrode assembly 204 includes three concentrically positioned rings: an upper configurable PEZ ring 302 ; an upper electrode ring 308 ; and an outer upper dielectric ring 310 .
  • the gap 304 between the upper configurable PEZ ring 302 and the upper electrode ring 308 forms a tortuous gas passageway connected to the edge gas passageway 224 b.
  • the tortuous gap 304 prevents the edge gas passageway 224 b from being directly exposed to plasma thereby preventing formation of secondary plasma or plasma light-up within the edge gas passageway 224 b.
  • Such secondary plasma could erode the inner wall of the edge gas passageway 224 b and result in need of frequent replacements of the upper metal component 210 as well as introduce eroded material to the substrate 218 .
  • the upper configurable PEZ ring 302 has two steps or recesses respectively formed on its inner and outer lower edges, wherein the step on the inner lower edge engages a flange 330 of the upper dielectric plate 216 to clamp the ring 302 against metal component 210 .
  • the upper configurable PEZ ring 302 can have various configurations to provide different top plasma exclusion zones (top PEZ).
  • FIG. 4A shows an enlarged schematic cross sectional view of the upper configurable PEZ ring 302 shown in FIG. 3 , wherein the distance D 1 is referred to as top edge exclusion zone and varies with changes in width of lower portion 302 a of the ring 302 .
  • the configuration of the PEZ ring 302 thus determines the top PEZ 402 that is equal to the radius of the substrate 218 minus the distance D 1 .
  • the upper configurable PEZ ring 302 also needs to be replaced more frequently than the other parts of the upper electrode assembly 204 due to plasma erosion and is considered a consumable component.
  • process gases may include an oxygen-containing gas, such as O 2 .
  • Small amounts, such as ⁇ 10%, of a fluorine-containing gas, such as CF 4 , SF 6 , or C 2 F 6 may also be added to clean the bevel edge. Plasma containing these reactive gases may erode the upper PEZ ring 302 , and thereby necessitate periodic replacement of the upper configurable PEZ ring 302 .
  • the upper configurable PEZ ring 302 is held in place by the upper dielectric plate 216 and can be replaced without removing the upper electrode assembly 204 from the chamber wall 202 .
  • removal of the plate 216 allows ring 302 to be replaced with a different ring having the same or different configuration.
  • the upper configurable PEZ ring 302 prevents the plasma from directly eroding the upper dielectric plate 216 .
  • the upper configurable PEZ ring 302 is formed of an electrically conductive, semiconductive or dielectric material, such as a ring entirely of aluminum oxide (Al 2 O 3 ), aluminum nitride (AlN), silicon oxide (SiO 2 ), silicon carbide (SiC), silicon nitride (Si 3 N 4 ), silicon (Si), yttria (Y 2 O 3 ) or other materials or the support ring 124 can be a composite ring of metal, ceramic or polymer coated with a conductive or dielectric material such as Si, SiC or Y 2 O 3 , ceramic (preferably Al 2 O 3 ), or pure material, such as CVD SiC (doped suitably to provide high resistivity), to reduce contamination of the substrate 218 during operation.
  • the upper configurable PEZ ring 302 preferably has a small and simple cross section. In general, it is difficult to drill and tap threaded holes in some ceramics. Due to the clamping arrangement for holding the ring 302 in place, the upper configurable PEZ ring 302 does not require threaded holes for it to be secured to the upper dielectric plate 216 or to metal component 210 , thus providing flexibility in selecting the material therefore.
  • the upper configurable PEZ ring 302 may be formed of a material having a high electrical resistance, preferably, but not limited to, ⁇ 105 ohm-cm.
  • the plasma characteristics in the vicinity of the bevel edge can be controlled by varying the material and/or configuration of the upper configurable PEZ ring 302 .
  • the upper electrode ring 308 is connected to and grounded via the upper metal component 210 .
  • the upper electrode ring 308 is preferably held in place by the clamping force of the outer upper dielectric ring 310 .
  • electrode ring 308 can have a flange 308 a which mates with flange 310 a on the dielectric ring 310 .
  • the upper electrode ring 308 is preferably formed of a metal, such as anodized aluminum.
  • the upper electrode ring 308 can be formed of pure materials such as Si (single crystal or polycrystalline silicon), CVD low resistivity SiC or any suitable high-purity conductive materials. To minimize the cost impact of using high-purity materials, the cross sectional dimension of the upper electrode ring 308 is minimized. Although a bolt-through design could be used, a clamp-in-place design simplifies the configuration of the upper electrode ring 308 to thereby lower the CoC and allow the use of a wider range of materials for contamination control. It is also noted that the lower and upper electrode rings 306 , 308 may be formed of graphite or various carbon based materials including SiN, BN, and AlN, for instance.
  • the outer upper dielectric ring 310 is formed of a dielectric material, such as Al 2 O 3 , and may be coated with Y 2 O 3 .
  • the outer upper dielectric ring 310 includes circumferentially spaced apart threaded holes 318 in its upper surface to receive bolts 316 for securing the outer upper dielectric ring 310 to the upper metal component 210 .
  • the outer upper dielectric ring 310 includes a protrusion or step (flange) 310 that is used to clamp a flange 308 a of the upper electrode ring 308 to the upper metal component 210 . It is noted that each bolt 316 is screwed from the top side of the upper electrode assembly 204 so that the bolts are not exposed to and eroded by the plasma.
  • the inner edge diameter of the outer upper dielectric ring 310 determines the outer diameter of the ring or donut shaped plasma.
  • the lower electrode assembly 206 includes a lower metal liner (collar) 314 which surrounds focus ring 238 a and three concentrically positioned rings: a lower configurable PEZ ring 260 ; a lower electrode ring or hoop ring 306 ; and an outer lower dielectric ring 312 .
  • the lower configurable PEZ ring 260 , lower electrode ring 306 , and lower metal liner 314 are supported by the bottom dielectric ring or focus ring 238 (more specifically, the upper portion 238 a of the bottom dielectric ring) and liner 314 .
  • the lower electrode ring 306 is clamped against an upper surface of the lower metal liner 314 by the outer lower dielectric ring 312 , wherein the lower metal liner 314 is connected to the chamber wall 202 for grounding.
  • the focus ring 238 a electrically separates the lower electrode ring 306 from the upper portion 226 a of the powered electrode.
  • the powered electrode 226 is preferably formed of a metal, such as anodized aluminum. If the powered electrode 226 is exposed to and eroded by the plasma in cases where high cleanness plasma is required, it would be desirable to use a high purity material for the electrode 226 to meet the cleanness requirement. Because the lower configurable PEZ ring 260 is designed to shield the powered electrode 226 from the plasma, the powered electrode 226 can be formed of lower purity metals or materials regardless of the cleanness requirement.
  • the lower configurable PEZ ring 260 has two recesses or steps respectively formed on its inner and outer edges, wherein the step formed by vertical surface 260 a and the horizontal surface 260 b on the lower inner edge mates with surfaces on the outer edge of the upper portion 226 a of the powered electrode and a step formed by horizontal surface 260 c and vertical surface 260 d mate with surfaces on the focus ring 238 a.
  • the lower configurable PEZ ring 260 can be replaced with a PEZ ring providing a different sized bottom plasma exclusion zone.
  • process gases may include an oxygen-containing gas, such as O 2 .
  • oxygen-containing gas such as O 2 .
  • fluorine-containing gas such as CF 4 , SF 6 , or C 2 F 6 , may also be added to clean the bevel edge.
  • Plasma containing these reactive gases may erode the lower configurable PEZ ring 260 , necessitating periodic replacement of the lower configurable PEZ ring 260 .
  • the lower configurable PEZ ring 260 is removably mounted on the steps of the upper portion 226 a of the powered electrode and the focus ring 238 a, and can be replaced without removing the lower electrode assembly 206 from the chamber wall 202 .
  • the substrate 218 is mounted on the upper surface 206 e ( FIG. 4B ) of the lower configurable PEZ ring 260 .
  • the heights H 1 and H 2 determine the vertical separation between the substrate 218 and powered electrode 226 .
  • the heights H 1 and H 2 are preferably precisely controlled.
  • the lower configurable PEZ ring 260 protects the powered electrode 226 from attack by the plasma used to effect the bevel cleaning.
  • the lower configurable PEZ ring 260 is formed of an electrically conductive, semiconductive or dielectric material, such as a ring entirely of aluminum oxide (Al 2 O 3 ), aluminum nitride (AlN), silicon oxide (SiO 2 ), silicon carbide (SiC), silicon nitride (Si 3 N 4 ), silicon (Si), yttria (Y 2 O 3 ) or other materials or the support ring 124 can be a composite ring of metal, ceramic or polymer coated with a conductive or dielectric material such as Si, SiC or Y 2 O 3 , e.g., a ceramic (preferably Al 2 O 3 ), or pure material, such as Si (single crystal or polycrystalline silicon), CVD high resistivity SiC or the like, to reduce contamination of the substrate 218 during a cleaning operation.
  • the lower configurable PEZ ring 260 does not require threaded holes to be secured to the focus ring 238 a, providing flexibility in selecting the material therefore.
  • the lower configurable PEZ ring 260 may be also formed of a material having a high electrical resistance, preferably, but not limited to, ⁇ 105 ohm-cm. As the electrical coupling between the powered electrode 226 and the lower electrode ring 306 is affected by the electrical properties of the lower configurable PEZ ring 260 , the plasma characteristics can be controlled by varying the material and/or configuration of the lower configurable PEZ ring 260 .
  • the lower electrode ring 306 is connected to and grounded via the lower metal liner 314 .
  • the lower electrode ring 306 is preferably held in place by the clamping force of the outer lower dielectric ring 312 .
  • an outer flange 306 a on the electrode ring 306 can be engaged with an inner flange 312 a on the dielectric ring 312 whereby electrode ring 306 is clamped against the liner 314 .
  • the lower electrode ring 306 is preferably formed of a metal, such as anodized aluminum.
  • the lower electrode ring 306 may be formed of high purity materials such as pure Si (e.g., single crystal or polycrystalline silicon), CVD low resistivity SiC or any suitable high-purity conductive materials. To minimize the cost impact of using high-purity materials, the cross sectional dimensions of the lower electrode ring 306 can be minimized. Use of a clamp-in-place design simplifies the configuration of lower electrode ring 306 and thereby lowers the CoC through use of a wider range of materials for contamination control.
  • the outer lower dielectric ring 312 is formed of a dielectric material, such as Al 2 O 3 , and may be coated with Y 2 O 3 .
  • the outer lower dielectric ring 312 includes a series of threaded holes 320 which receive bolts 322 for securing the outer lower dielectric ring 312 to the lower metal liner 314 .
  • the outer lower dielectric ring 312 includes a protrusion or step (flange) that is used to clamp the lower electrode ring 306 to the metal liner 314 .
  • the bolts 322 are screwed from the bottom side of the lower electrode assembly 206 so that the bolts 322 are not exposed to and eroded by the plasma.
  • the inner edge diameter of the outer lower dielectric ring 312 determines the outer diameter of the ring or donut shaped plasma.
  • FIG. 5A shows a schematic cross sectional diagram of a bevel etcher 500 in accordance with another embodiment.
  • FIG. 5B shows an enlarged schematic diagram of region B in FIG. 5A .
  • the components of the bevel etcher 500 are quite similar to those shown in FIG. 2 .
  • the differences are that the lower electrode assembly 506 includes a lower support 502 in place of the powered electrode 226 and the lower electrode ring 504 is coupled to an RF power source 508 via the lower metal liner 510 .
  • the lower support 502 is formed of a dielectric material and operates as a vacuum chuck to hold the substrate 518 in place during a bevel cleaning operation.
  • the RF power source 508 provides RF power to energize process gas provided through at least one of the gas feeds 512 , 514 into plasma, wherein the RF power is supplied in one or more frequencies in a range, but not limited to, of ⁇ 2 MHz to ⁇ 13 MHz.
  • the upper electrode ring 516 is coupled to an RF power source while the lower electrode ring 504 is grounded.
  • FIG. 6 shows a schematic cross sectional diagram of a bevel etcher 600 in accordance with yet another embodiment.
  • the components of the bevel etcher 600 are similar to those shown in FIGS. 5A and 5B .
  • the difference is that the lower electrode assembly 602 includes a lower support 604 formed of a metal and the upper surface of lower support 604 is covered with a dielectric coating or layer 606 .
  • the upper electrode ring 608 is coupled to an RF power source while the lower electrode ring 610 is grounded.
  • FIG. 7 shows a schematic cross sectional diagram of a bevel etcher 700 in accordance with a further embodiment.
  • the components of the bevel etcher 700 are similar to those shown in FIG. 2 , with the difference that an electrostatic chuck 702 is used in place of the vacuum chuck.
  • the electrostatic chuck 702 is disposed on a powered electrode 710 and holds a substrate 712 in place during a bevel cleaning operation.
  • Upper and lower electrode rings 704 , 706 are grounded while the powered electrode 710 is coupled to an RF source 708 for supplying power to generate the plasma.
  • FIG. 8 shows a schematic cross sectional diagram of a bevel etcher 800 in accordance with another embodiment.
  • the components of the bevel etcher 800 are similar to those in FIG. 7 , i.e., an electrostatic chuck 802 is used to hold a substrate 812 in place during a bevel cleaning operation.
  • the upper electrode ring 804 is grounded while the lower electrode ring 806 is coupled to an RF power source 808 for supplying RF power to generate the plasma.
  • the upper electrode ring 804 is coupled to an RF power source while the lower electrode 806 is grounded.
  • FIG. 9 shows a schematic cross sectional diagram of a bevel etcher 900 in accordance with yet a further embodiment.
  • the components in the etcher 900 are similar to those shown in FIG. 2 .
  • the difference is that, in this embodiment, a hollow cathode ring 904 , which is made of a conductive material, such as aluminum, is located outside the outer upper and lower dielectric rings 912 , 914 .
  • the hollow cathode ring 904 has a channel 906 that faces the bevel edge. It is noted that the hollow cathode ring 904 is moved in a vertical direction during loading/unloading a substrate 916 by a suitable device (not shown in FIG. 9 ).
  • the hollow cathode ring 904 is coupled to an RF power source 918 and both lower and upper electrode rings 908 , 910 are grounded.
  • the RF power source supplies RF power in a frequency range from ⁇ 2 MHz to ⁇ 13 MHz, for instance.
  • the upper electrode ring 910 is coupled to an RF power source while the lower electrode ring 908 and the hollow cathode ring 904 are grounded.
  • the lower electrode ring 908 is coupled to an RF power source while the upper electrode ring 910 and the hollow cathode ring 904 are grounded.
  • the hollow cathode ring 904 is also coupled to a high-frequency RF power source to generate plasma for cleaning the interior of the chamber 902 and the top surface of the vacuum chuck 920 , wherein the high-frequency RF power source provides RF power in the range from ⁇ 27 MHz to ⁇ 60 MHz, for instance.
  • FIG. 10 shows a schematic cross sectional diagram of a bevel etcher in accordance with a further embodiment.
  • the components of the bevel etcher 1000 are similar to those shown in FIG. 2 .
  • the difference is that an inductive coil(s) 1006 surrounds the substrate edge and the space between the outer lower dielectric ring 1016 and the outer upper dielectric ring 1014 .
  • the inductive coil(s) 1012 is embedded in a dielectric material 1006 that is coupled to a dielectric support 1004 .
  • the dielectric support 1004 includes a suitable mechanism (not shown in FIG. 10 ) for moving the inductive coil 1012 in a vertical direction during loading/unloading a substrate.
  • the inductive coil 1012 is coupled to an RF power source 1018 .
  • the RF power source 1018 supplies RF power in a range, but not limited to, of ⁇ 2 MHz to ⁇ 13 MHz to generate an inductively coupled plasma near the substrate edge.
  • the upper electrode ring 1010 and the lower electrode ring 1008 are grounded to provide a return path for the inductive plasma.
  • the inductive coil 1012 provides cleaning plasma to clean the bevel edge.
  • the inductive coil 1012 is also coupled to a high-frequency RF power source to generate plasma for cleaning the interior of the chamber 1002 and the top surface of the vacuum chuck 1020 , wherein the high-frequency RF power source provides RF power in the range of ⁇ 27 MHz to ⁇ 60 MHz, for instance.
  • FIGS. 2 and 6-10 have a center gas feed and edge gas feeds.
  • the number of gas feeds may be varied to achieve a desired distribution of gas to the substrate and/or vicinity of the bevel edge.
  • the upper dielectric plate may have any suitable number and disposition of holes.
  • FIG. 11A illustrates a partial cross-sectional view of semiconductor substrate 1100 , which includes top surface 1100 A, backside surface 1100 B and bevel edge 1100 C (indicated by the dashed circle). Bevel edge also includes apex A (indicated by the arrow).
  • FIG. 11B illustrates an exemplary partial cross-sectional view of semiconductor substrate 1100 , including wraparound layer 1110 A, such as ultra low-k interlayer dielectric layer (ILD) overlying top surface 1100 A and bevel edge 1100 C, including apex A.
  • layer 1110 can be deposited by chemical vapor deposition or a suitable spin-on technique. Layer 1110 can also be subjected to previous plasma etching steps to form openings such as vias or trenches in the layer 1110 (not shown in FIG. 11A ).
  • layer 1110 can be an interlayer dielectric material.
  • the bevel edge 1100 C can include unetched deposits 1100 B of layer 1100 A or plasma etch byproducts 1120 , such as polymer deposits. The layer 1100 is not etched of the inwardly from the bevel edge 1100 C.
  • Exemplary interlayer dielectric materials for layer 1100 can include SiO 2 /siloxane derivatives such as fluorine- and carbon-doped organosilicate glass (OSG) oxides, such as FLOWFILL® (manufactured by Trikon), BLACK DIAMOND® (manufactured by Applied Materials), CORAL® (manufactured by Novellus), AURORA® (manufactured by ASMI), organic polymers, such as JSR® (manufactured by JSR) and SiLK® (manufactured by Dow Chemical), or mesoporous glass and/or nanoporous glass, engineered with porogens which form pores in the dielectric material to enhance the low-k characteristics.
  • OSG organosilicate glass
  • FLOWFILL® manufactured by Trikon
  • BLACK DIAMOND® manufactured by Applied Materials
  • CORAL® manufactured by Novellus
  • AURORA® manufactured by ASMI
  • organic polymers such as JSR® (manufactured by J
  • layer 1110 can be a single layer or multiple layers of low-k dielectric and/or photoresist materials.
  • FIGS. 11C-11E illustrate cross-sectional etching profiles for various stages of completion for bevel cleaning of substrate 1100 .
  • FIG. 11C illustrates the cross-sectional etching profile for incomplete bevel cleaning. If bevel cleaning is terminated prematurely, this incomplete etching of 1110 A along bevel edge 1100 C can be problematic due to peeling or flaking of remnants of layer 1110 B, along bevel edge 1100 C. As a result, this peeling or flaking can be a potential source of particulate contamination, which can decrease the process yield of semiconductor devices formed on substrate 1100 .
  • FIG. 11D illustrates the cross-sectional cleaning profile in which the bevel edge 1100 C has been partially cleaned.
  • layer 1110 A overlying apex A has been removed, however, a portion of layer 1110 A partially covers the bevel edge 1100 C above apex A.
  • the partial bevel clean can remove deposited material on the lower 60% to 90% of the bevel edge 1100 C and leave some of the ILD on the top 10% to 40% of the bevel edge 1100 C.
  • FIG. 11E illustrates the cross-sectional etching profile in which the bevel edge 1100 C has been completely cleaned. For this profile, the portions of layer 1110 overlying the bevel edge 1100 C are completely removed.
  • FIG. 11E cross-sectional cleaning profile has been previously considered optimal, it has been determined that the partial coverage of bevel edge 1100 C with overlying layer 1110 A ( FIG. 11D ) results in an increase in process yield gain of up to 5% relative to the situation when bevel edge has been completely cleaned. It is believed that the partial coverage of bevel edge 1100 C creates a more robust structure, during subsequent substrate processing (e.g., chemical mechanical polishing).
  • the degree of bevel edge cleaning can be controlled by using different configurations of upper PEZ ring 302 .
  • one parameter of upper PEZ ring 302 includes an outer diameter on a lower portion 302 a closest to the substrate 218 . Testing was performed to determine the effect of varying outer diameter on a lower portion 302 a of upper PEZ ring 302 on the cleaning profile of the bevel edge.
  • a first set of experiments was performed in a 2300 CORONUSTM plasma-based bevel cleaning system (available from Lam Research Corporation, Fremont, Calif.) to determine the bevel cleaning profile for a first upper PEZ ring configuration.
  • an upper PEZ ring 302 i.e., FIG. 4A
  • D 1 from greater than 0 to 2 mm
  • This configuration results in an outer diameter on a lower portion of upper PEZ of 296 mm to less than 300 mm (i.e. the upper PEZ ring has an outer diameter less than the substrate diameter).
  • the silicon substrate was thermally treated to form an overlying silicon oxide film.
  • FIG. 12A illustrates a partial cross-sectional view of silicon substrate 1200 with overlying silicon oxide layer 1210 before bevel cleaning.
  • Silicon substrate 1200 includes top surface 1200 A, backside surface 1200 B, bevel edge 1200 C (as indicated by the dashed circle) and apex A.
  • Silicon oxide layer 1210 covers top surface 1200 A, backside surface 1200 B and wraps around bevel edge 1200 C.
  • a process gas was introduced into the reaction chamber of the bevel cleaning system, which was energized with RF power to clean the bevel edge with the plasma.
  • the process gas was a fluorine-containing gas (e.g., CF 4 , SF 6 , NF 3 or C 2 F 6 ) at a flow rate of about 10 SCCM to about 100 SCCM.
  • the balance of the process gas was CO 2 , O 2 and/or N 2 with a total flow rate of about 200 SCCM to about 500 SCCM.
  • the chamber pressure was from about 0.5 Torr to about 2 Torr.
  • the RF power was from about 400 W to about 800 W.
  • FIG. 12B illustrates a partial cross-sectional view of silicon substrate 1200 after the bevel cleaning has been partially completed.
  • top and bottom portions of bevel edge 1200 C (indicated by the arrows) were the first regions of silicon substrate 1200 to be exposed.
  • the etch rate maximum occurs at positions on the silicon substrate 1210 covering the top and bottom portions of bevel edge 1200 C.
  • Remnants of silicon oxide layer 1210 cover apex A of bevel edge 1200 C.
  • FIG. 12C illustrates a partial cross-sectional view of silicon substrate 1200 after the bevel cleaning has been completed. Silicon oxide layer 1210 has been completely removed from bevel edge 1200 C.
  • an upper PEZ ring 302 in which D 1 is greater than 0 to 2 mm for an outer diameter on a lower portion of the upper PEZ ring of 296 mm to less than 300 mm is a preferred configuration for achieving a bevel etch with a completely etched profile (i.e., FIG. 11E ).
  • a second set of experiments was performed in a 2300 CORONUSTM plasma-based bevel cleaning system to determine the bevel cleaning profile of a second upper PEZ ring configuration.
  • an upper PEZ ring 302 with a negative D 1 value of 0.25 mm to 1.25 mm was installed for cleaning a 300 mm substrate wafer.
  • This configuration results an outer diameter on a lower portion of the upper PEZ ring from 300.5 mm to 302.5 mm (i.e. the outer diameter of a lower portion of the upper PEZ ring is greater than the substrate diameter).
  • the silicon substrate coated with a tetraethylorthosilicate (TEOS or Si(OC 2 H 5 ) 4 ) film Before cleaning, the silicon substrate coated with a tetraethylorthosilicate (TEOS or Si(OC 2 H 5 ) 4 ) film.
  • TEOS tetraethylorthosilicate
  • a process gas was introduced into the reaction chamber of the bevel cleaning system, which was energized with RF power to clean the bevel edge with the plasma.
  • the process gas was a fluorine-containing gas (e.g., CF 4 , SF 6 , NF 3 or C 2 F 6 ) at a flow rate of about 10 SCCM to about 100 SCCM.
  • the balance of the process gas was CO 2 , O 2 and/or N 2 with a total flow rate of about 200 SCCM to about 500 SCCM.
  • the chamber pressure was from about 0.5 Torr to about 2 Torr.
  • the RF power was from about 400 W to about 800 W.
  • FIG. 13A illustrates a partial cross-sectional view of silicon substrate 1300 with overlying TEOS layer 1310 before bevel cleaning.
  • Silicon substrate 1300 includes top surface 1300 A, backside surface 1300 B, bevel edge 1300 C (as indicated by the dashed circle) and apex A.
  • TEOS layer 1310 covers top surface 1300 A and wraps around a portion of bevel edge 1200 C, covering apex A.
  • FIG. 13B illustrates a partial cross-sectional view of silicon substrate 1300 after the bevel cleaning has been partially completed. As illustrated in FIG. 13B , portions of the TEOS layer 1310 covering apex A have been removed. Thus, based on this cleaning profile, it has been determined that the etch rate maximum occurs at the apex A of bevel edge 1300 C.
  • FIG. 13C illustrates a partial cross-sectional view of silicon substrate 1300 after the bevel cleaning has been completed.
  • TEOS layer 1310 has been completely removed from the apex A of bevel edge 1300 C, TEOS 1310 partially wraps around the upper portion of the bevel edge 1300 C.
  • the FIG. 13C etch profile results in an increase in process yield gain of up to 5% relative to the situation when bevel edge has been completely cleaned (e.g., FIG. 12C or FIG. 11E ).
  • an upper PEZ ring 302 with a negative D 1 value of 0.25 mm to 1.25 for an outer diameter on a lower portion upper PEZ of 300.5 mm to 302.5 mm is a preferred configuration for achieving a partially cleaned profile (i.e., FIG. 13C or FIG. 11D ).
  • the upper PEZ ring radius can range from having the same diameter as the substrate to being up to 10% larger than the diameter of the substrate, (e.g., 0.5 mm to 5 mm larger in diameter or any value in between, in increments of 0.1 mm).
  • FIG. 14 provides a comparison for etching rate as a function of radial position for: (1) an outer diameter on a lower portion of an upper PEZ ring of 296 mm to less than 300 mm (less than the diameter of the substrate) (Example 1); and (2) an outer diameter on a lower portion of an upper PEZ ring of 300.5 mm to 302.5 mm (greater than the diameter of the substrate) (Example 2).
  • Example 1 the maximum etch rate occurs at radial position of about 149.8 mm.
  • the maximum etch rate occurs at radial position of about 150 mm.
  • Etch rate was determined by measuring the thickness of an overlying layer by dual beam spectrometry (DBS) and spectroscopic ellipsometry (SE) to measure film properties, such as thickness and refractive index, of layers present on a semiconductor substrate (KLA TENCOR Model FSX, manufactured by KLA Tencor, located in San Jose, Calif.).
  • DBS dual beam spectrometry
  • SE spectroscopic ellipsometry
  • a bevel etcher can be configured with an upper PEZ ring 302 , having a negative D 1 value of 0.25 mm to 1.25 mm.
  • FIG. 14 also illustrates that the Example 2 embodiment has the ability to achieve differential cleaning rates as a function of radial position along substrate 1300 , with a maximum etch rate at the apex A of bevel edge 1300 C. From Example 2 of FIG. 14 , the etching rate near the apex A (about 7,000 ⁇ /minute at a radius at 150 mm) of the bevel edge is greater than the etch rate along the upper portion of the bevel edge ( ⁇ 2,000 ⁇ /minute at a radius of about 149.8 mm).

Abstract

A lower plasma-exclusion-zone ring for a bevel etcher is provided that is configured to etch a bevel edge of a substrate. The lower plasma-exclusion-zone ring includes a ring-shaped body and a radially-outer stepped surface. The ring-shaped body of the lower plasma-exclusion-zone ring defines an upper surface, a lower surface, a radially inner surface, and a radially outer surface. The radially-outer stepped surface of the lower plasma-exclusion-zone ring extending inwardly into the ring-shaped body between the radially outer surface of the ring-shaped body and the upper surface of the ring-shaped body. The ring-shaped body is made of a material selected from a group consisting of aluminum oxide, aluminum nitride, silicon, silicon carbide, silicon nitride, and yttria.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
The present disclosure is a continuation of U.S. patent application Ser. No. 13/793,454 filed on Mar. 11, 2013, which is a divisional of U.S. patent application Ser. No. 12/076,257, filed on Mar. 14, 2008 (now U.S. Pat. No. 8,398,778), which is a continuation-in-part of U.S. patent application Ser. No. 11/698,190, filed on Jan. 26, 2007 (now U.S. Pat. No. 7,943,007). The entire disclosures of the applications referenced above are incorporated herein by reference.
BACKGROUND
Integrated circuits are formed from a wafer or substrate over which are formed patterned microelectronics layers. In the processing of the substrate, plasma is often employed to etch intended portions of films deposited on the substrate. Typically, etch plasma density is lower near the edge of the substrate, which may result in accumulation of a poly-silicon layer, a nitride layer, a metal layer, etc. (collectively referred to as byproduct layer) on the top and bottom surfaces of the substrate bevel edge. As successive byproduct layers are deposited on the top and bottom surfaces of the substrate bevel edge as a result of several different etch processes, the bonds between the byproduct layers and the substrate will eventually weaken and the byproduct layers may peel or flake off, often onto other substrates during substrate transport thereby contaminate the other substrates.
SUMMARY
In one embodiment, a method of cleaning a bevel edge of a semiconductor substrate is provided. A semiconductor substrate is placed on a substrate support in a reaction chamber of a plasma processing apparatus. The substrate has a dielectric layer overlying a top surface and a bevel edge of the substrate, the layer extending above and below an apex of the bevel edge. A process gas is introduced into the reaction chamber and energized into a plasma. The bevel edge is cleaned with the plasma so as to remove the layer below the apex without removing all of the layer above the apex.
In another embodiment, a bevel etcher wherein a bevel edge of a semiconductor wafer is subjected to plasma cleaning is provided. A lower support has a cylindrical top portion. A lower plasma-exclusion-zone (PEZ) ring is supported on the top portion of the lower support. The lower PEZ ring has an upper surface on which the wafer is supported. An upper dielectric component is disposed above the lower support and has a cylindrical bottom portion opposing the top portion of the lower support. An upper PEZ ring surrounds the bottom portion of the dielectric component and opposes the lower PEZ ring, an annular space between the lower and upper PEZ rings limiting the extent of the bevel edge to be cleaned by the plasma. At least one radio frequency (RF) power source is adapted to energize process gas into the plasma during a cleaning operation. The lower and upper PEZ rings are adapted to respectively shield the lower support and the upper dielectric component from the plasma during the cleaning operation. A portion of the upper PEZ ring closest to the wafer has an outer diameter at least equal to an outer diameter of the wafer.
In another embodiment, a configurable part of a bevel etcher is provided. A bevel edge of a semiconductor wafer is subjected to plasma cleaning, the bevel etcher including a lower electrode assembly on which the wafer is supported during the bevel cleaning operation, an upper electrode assembly including a dielectric plate facing the lower support and attached to an upper support which is movable vertically to position the dielectric plate at a small distance from the upper surface of the wafer. The upper electrode assembly includes at least one gas passage through which gas can be flowed in the vicinity of the bevel edge during the bevel cleaning operation. The dielectric plate has at least one gas passage through which gas can be flowed over the surface of the wafer during the bevel cleaning operation. The configurable part comprising an upper PEZ ring of electrically conductive, semiconductive or dielectric material adapted to shield the upper dielectric plate from the plasma during the cleaning operation. A portion of the upper PEZ ring closest to the wafer has an outer diameter which is greater than the outer diameter of the wafer.
BRIEF DESCRIPTION OF FIGURES
FIG. 1 shows a schematic cross sectional diagram of a bevel etching chamber.
FIG. 2 shows a schematic cross sectional diagram of a bevel etcher in accordance with one embodiment.
FIG. 3 shows an enlarged schematic diagram of region A in FIG. 2.
FIGS. 4A-4B show schematic cross sectional diagrams of the configurable plasma exclusion zone (PEZ) rings in FIG. 2.
FIG. 5A shows a schematic cross sectional diagram of a bevel etcher in accordance with another embodiment.
FIG. 5B shows an enlarged schematic diagram of region B in FIG. 5A.
FIG. 6 shows a schematic cross sectional diagram of a bevel etcher in accordance with yet another embodiment.
FIG. 7 shows a schematic cross sectional diagram of a bevel etcher in accordance with a further embodiment.
FIG. 8 shows a schematic cross sectional diagram of a bevel etcher in accordance with another further embodiment.
FIG. 9 shows a schematic cross sectional diagram of a bevel etcher in accordance with a yet further embodiment.
FIG. 10 shows a schematic cross sectional diagram of a bevel etcher in accordance with a still further embodiment.
FIGS. 11A-11E illustrate various cleaning profiles for a partial cross-sectional view of a semiconductor substrate.
FIGS. 12A-12C illustrate cleaning profiles for a partial cross-sectional view of a semiconductor substrate using an upper PEZ ring with the outer diameter of a lower portion less than the outer diameter of the substrate.
FIGS. 13A-13C illustrate cleaning profiles for a partial cross-sectional view of a semiconductor substrate using an upper PEZ ring with the outer diameter of a lower portion greater than the outer diameter of the substrate.
FIG. 14 illustrates a graph of etch rate as a function of radial position on a substrate using an upper PEZ ring with the outer diameter on a lower portion less than the outer diameter; and an upper PEZ ring with the outer diameter on a lower portion greater than the outer diameter of the substrate.
DETAILED DESCRIPTION
FIG. 1 shows a schematic cross sectional diagram of a bevel etching chamber 100 for etching the bevel edge of a substrate 110. As depicted, the chamber 100 includes a cathode 102 coupled to an RF power source; a stage 116 for supporting a substrate 110; an insulating material 114 surrounding the stage 116; top and bottom ring- shaped electrodes 104, 106; and a top insulator 108. The reaction gas is blown through one or more gas outlets 120 and energized into plasma to clean the byproduct layers 112 formed on the bevel edge of the substrate 110. The etching chamber 100 may have several difficulties in controlling the area to be cleaned. For instance, to change the size of a bottom edge exclusion 122, it may be necessary to change the thickness of the insulating material 114 and, as a consequence, the shape and/or location of the bottom ring-shaped electrode 106 may need to be changed. In some cases, the diameter of the entire stage 116 may need to be changed, which may result in an increase in the Cost-of-Consumables (CoC). Another drawback is that the chamber 100 does not have a mechanism to accurately control the extent of a top edge exclusion 124. To change the extent of the top edge exclusion 124, it may be necessary to change the outer diameter of the insulator 108 as well as the locations of the gas outlet(s) 120 and top ring-shaped electrode 104. As such, it may be costly to accurately control the extent of edge exclusions in such etching chambers.
Referring now to FIG. 2, there is shown a schematic cross sectional diagram of a substrate etching system or bevel etcher 200 for cleaning the bevel edge of a substrate 218 in accordance with one embodiment. The bevel etcher 200 has a generally, but not limited to, axisymmetric shape and, for brevity, only half of the side cross sectional view is shown in FIG. 2. As depicted, the bevel etcher 200 includes: a chamber wall 202 having a door or gate 242 for through which the substrate 218 is loaded/unloaded; an upper electrode assembly 204; a support 208 from which the upper electrode assembly 204 is suspended; and a lower electrode assembly 206. The support 208 moves the upper electrode assembly 204 up and down (in the direction of the double arrow) for loading/unloading the substrate 218. A precision driving mechanism (not shown in FIG. 2) is attached to the support 208 so that the gap between the upper electrode assembly 204 and the substrate 218 is controlled accurately.
Metal bellows 250 are used to form a vacuum seal between the chamber wall 202 and support 208 while allowing the support 208 to have a vertical motion relative to the wall 202. The support 208 has a center gas feed (passage) 212 and an edge gas feed (passage) 220. The gas feeds 212, 220 provide process gas to be energized into plasma to clean the bevel edge. During operation, the plasma is formed around the bevel edge of the substrate 218 and has a generally ring shape. To prevent the plasma from reaching the central portion of the substrate 218, the space between an insulator plate 226 on the upper electrode and the substrate is small and the process gas is fed from the center feed, preferably through a stepped hole 214. Then, the gas passes through the gap between the upper electrode assembly 204 and the substrate 218 in the radial direction of the substrate. Each gas feed is used to provide the same process gas or other gases, such as buffer gas and/or purge gas. For instance, the buffer gas can be injected through the center gas feed 212, while the process gas can be injected through the edge gas feed 220. The plasma/process gas is withdrawn from the chamber space 251 to the bottom space 240 via a plurality of holes (outlets) 241. During a bevel cleaning operation, the chamber pressure is typically in the range of 500 mTorr to 2 Torr, e.g., a vacuum pump 243 can be used to evacuate the bottom space 240 during a cleaning operation.
The upper electrode assembly 204 includes: an upper dielectric plate or upper dielectric component 216; and an upper metal component 210 secured to the support 208 by a suitable fastening mechanism and grounded via the support 208. The upper metal component 210 is formed of a metal, such as aluminum, and may be anodized. The upper metal component 210 has one or more edge gas passageways or through holes 222 a, 222 b and an edge gas plenum 224, wherein the edge gas passageways 222 are coupled to the edge gas feed 220 for fluid communication during operation. The upper dielectric plate 216 is attached to the upper metal component 210 and formed of a dielectric material, preferably, but not limited to, ceramic. If desired, the upper dielectric plate 216 may have a coating of Y2O3. Typically, it is difficult to drill a deep straight hole in some ceramics, such as Al2O3, and therefore a stepped hole 214 can be used instead of a deep straight hole. While the upper dielectric plate 216 is shown with a single center hole, the upper dielectric plate 216 may have any suitable number of outlets, e.g., the outlets can be arranged in a showerhead hole pattern if desired.
The lower electrode assembly 206 includes: powered electrode 226 having an upper portion 226 a and a lower portion 226 b and operative to function as a vacuum chuck to hold the substrate 218 in place during operation; lift pins 230 for moving the substrate 218 up and down; a pin operating unit 232; bottom dielectric ring 238 having an upper portion 238 a and a lower portion 238 b. Hereinafter, the term powered electrode refers to one or both of the upper and lower portions 226 a, 226 b. Likewise, the term bottom dielectric ring 238 refers to one or both of the upper and lower portions 238 a, 238 b. The powered electrode 226 is coupled to a radio frequency (RF) power source 270 to receive RF power during operation.
The lift pins 230 move vertically within cylindrical holes or paths 231 and are moved between upper and lower positions by the pin operating unit 232 positioned in the powered electrode 226. The pin operating unit includes a housing around each lift pin to maintain a vacuum sealed environment around the pins. The pin operating unit 232 includes any suitable lift pin mechanism, such as a robot arm 233 (e.g., a horizontal arm having segments extending into each housing and attached to each pin) and an arm actuating device (not shown in FIG. 2). For brevity, only a tip portion of a segment of the robot arm is shown in FIG. 2. While three or four lift pins can be used to lift a wafer such as a 30 mm wafer, any suitable number of pins 230 may be used in the bevel etcher 200. Also, any suitable mechanisms, such as lifter bellows, can be used as the pin operating unit 232.
According to a preferred embodiment, the pin lifter is a multi-position pin lifter which can move the lift pins 230 to various positions. For example, the lift pins 230 can be moved vertically to four positions via the lift pin operating unit 232 as follows: (1) in the first position the pins 230 are moved to locate upper ends thereof below the top surface of the bottom electrode 226 a, (2) in the second position the pins 230 are moved to locate upper ends thereof in contact with the lower surface of a fixture installed with its bottom surface in the same plane as a wafer supported on the ring 260 and this position is monitored via a position sensor 233 a which outputs a signal to a controller to record the position as a “zero” position, (3) in the third position the pins 230 are moved to locate upper ends thereof in contact with the dielectric plate 216 and this position is monitored via the position sensor 233 a which outputs a signal to the controller to determine gap and planarity information without having to open the chamber, and (4) in the fourth position the pins 230 are moved to their full up position to allow transfer of a wafer to be cleaned into the chamber or transfer of a cleaned wafer out of the chamber.
For minimizing costs of manufacture, the lift pins are preferably moved by a common lift device such as an air cylinder or motor. In such case, the gap distance could be determined when a pin contacts the dielectric plate on the upper electrode assembly. For planarity measurement, each pin could be provided with some compliance relative to the common lifting yoke, e.g., each pin could be spring loaded to allow movement of each pin relative to the other pins and an individual sensor associated with each pin could output information corresponding to an individual pin's position. By moving all of the pins into contact with the dielectric plate, if the dielectric plate is not parallel with the substrate support surface, the vertical offset of each pin relative to the other pins measured by the lift pin sensors could be used to determine the degree of out of planarity of the upper electrode assembly. Preferably, the spring load on each lift pin is sufficient to support the weight of a wafer, i.e., the springs supporting the lift pins would not be compressed under the weight of the wafer so that during wafer transfer the lift pins are at the same height relative to each other. Alternatively, the pins could have independent drives.
The substrate 218 is mounted on a lower configurable plasma-exclusion-zone (PEZ) ring 260, wherein the term PEZ refers to a radial distance from the center of the substrate to the outer edge of the area where the plasma for cleaning the bevel edge is excluded. The top surface of the powered electrode 226, the bottom surface of the substrate 218, and inner periphery of the lower configurable PEZ ring 260 form an enclosed vacuum region recess (vacuum region) 219 in fluid communication with a vacuum source such as a vacuum pump 236. The cylindrical holes or paths for the lift pins 230 are also shared as gas passageways, through which the vacuum pump 236 evacuates the vacuum region 219 during operation. The powered electrode 226 includes a plenum 234 to reduce temporal pressure fluctuations in the vacuum region 219 and, in cases where multiple lift pins are used, to provide a uniform suction rate for the cylindrical holes.
On the top surface of the substrate 218 are integrated circuits formed by a series of processes. One or more of the processes may be performed by use of plasma that may transfer heat energy to the substrate, developing thermal stress on the substrate and thereby causing wafer bowing. During a bevel cleaning operation, the substrate bowing can be reduced by use of a pressure difference between the top and bottom surfaces of the substrate 218. The pressure in the vacuum region 219 is maintained under vacuum during operation by a vacuum pump 236 coupled to the plenum 234. By adjusting the gap between the upper dielectric plate 216 and the top surface of the substrate 218, the gas pressure in the gap can be varied without changing the overall flow rate of the process gas(es). Thus, by controlling the gas pressure in the gap, the pressure difference between the top and bottom surfaces of the substrate 218 can be varied and thereby the bending force applied on the substrate 218 can be controlled.
The bottom dielectric ring 238 is formed of a dielectric material, such as ceramic including Al2O3, and electrically separates the powered electrode 226 from the chamber wall 202. The lower portion 238 b of the bottom dielectric ring preferably has a step 252 formed on the inner periphery of its upper surface to mate with a recess on a lower edge of the powered electrode 226. The lower portion 238 b preferably has a step 250 formed on its outer periphery to mate with a stepped surface on the upper portion 238 a of the bottom dielectric ring, referred to as a focus ring. The steps 250, 252 align the bottom dielectric ring 238 with the powered electrode 226. The step 250 also forms a tortuous gap along the surface thereof to eliminate the direct line-of-sight between the powered electrode 226 and the chamber wall 202 thereby reducing the possibility of a secondary plasma strike between the powered electrode 226 and the chamber wall 202.
FIG. 3 shows an enlarged schematic diagram of region A in FIG. 2. As depicted, the upper electrode assembly 204 includes three concentrically positioned rings: an upper configurable PEZ ring 302; an upper electrode ring 308; and an outer upper dielectric ring 310. The gap 304 between the upper configurable PEZ ring 302 and the upper electrode ring 308 forms a tortuous gas passageway connected to the edge gas passageway 224 b. The tortuous gap 304 prevents the edge gas passageway 224 b from being directly exposed to plasma thereby preventing formation of secondary plasma or plasma light-up within the edge gas passageway 224 b. Such secondary plasma could erode the inner wall of the edge gas passageway 224 b and result in need of frequent replacements of the upper metal component 210 as well as introduce eroded material to the substrate 218.
The upper configurable PEZ ring 302 has two steps or recesses respectively formed on its inner and outer lower edges, wherein the step on the inner lower edge engages a flange 330 of the upper dielectric plate 216 to clamp the ring 302 against metal component 210. The upper configurable PEZ ring 302 can have various configurations to provide different top plasma exclusion zones (top PEZ). FIG. 4A shows an enlarged schematic cross sectional view of the upper configurable PEZ ring 302 shown in FIG. 3, wherein the distance D1 is referred to as top edge exclusion zone and varies with changes in width of lower portion 302 a of the ring 302. The configuration of the PEZ ring 302 thus determines the top PEZ 402 that is equal to the radius of the substrate 218 minus the distance D1. The upper configurable PEZ ring 302 also needs to be replaced more frequently than the other parts of the upper electrode assembly 204 due to plasma erosion and is considered a consumable component. Typically, process gases may include an oxygen-containing gas, such as O2. Small amounts, such as <10%, of a fluorine-containing gas, such as CF4, SF6, or C2F6, may also be added to clean the bevel edge. Plasma containing these reactive gases may erode the upper PEZ ring 302, and thereby necessitate periodic replacement of the upper configurable PEZ ring 302. For easy access to the upper configurable PEZ ring 302 during replacement, the upper configurable PEZ ring 302 is held in place by the upper dielectric plate 216 and can be replaced without removing the upper electrode assembly 204 from the chamber wall 202. For example, removal of the plate 216 allows ring 302 to be replaced with a different ring having the same or different configuration.
The upper configurable PEZ ring 302 prevents the plasma from directly eroding the upper dielectric plate 216. The upper configurable PEZ ring 302 is formed of an electrically conductive, semiconductive or dielectric material, such as a ring entirely of aluminum oxide (Al2O3), aluminum nitride (AlN), silicon oxide (SiO2), silicon carbide (SiC), silicon nitride (Si3N4), silicon (Si), yttria (Y2O3) or other materials or the support ring 124 can be a composite ring of metal, ceramic or polymer coated with a conductive or dielectric material such as Si, SiC or Y2O3, ceramic (preferably Al2O3), or pure material, such as CVD SiC (doped suitably to provide high resistivity), to reduce contamination of the substrate 218 during operation. To reduce the Cost-of-Consumables (CoC), the upper configurable PEZ ring 302 preferably has a small and simple cross section. In general, it is difficult to drill and tap threaded holes in some ceramics. Due to the clamping arrangement for holding the ring 302 in place, the upper configurable PEZ ring 302 does not require threaded holes for it to be secured to the upper dielectric plate 216 or to metal component 210, thus providing flexibility in selecting the material therefore. The upper configurable PEZ ring 302 may be formed of a material having a high electrical resistance, preferably, but not limited to, ˜105 ohm-cm. As the electrical coupling between the powered electrode 226 and the upper electrode ring 308 is affected by the electrical properties of the upper configurable PEZ ring 302, the plasma characteristics in the vicinity of the bevel edge can be controlled by varying the material and/or configuration of the upper configurable PEZ ring 302.
The upper electrode ring 308 is connected to and grounded via the upper metal component 210. Instead of using threaded fastening mechanisms, such as bolts, the upper electrode ring 308 is preferably held in place by the clamping force of the outer upper dielectric ring 310. For example, electrode ring 308 can have a flange 308 a which mates with flange 310 a on the dielectric ring 310. As such, plasma contaminants that would otherwise originate from the erosion of the exposed fastening mechanisms can be obviated. The upper electrode ring 308 is preferably formed of a metal, such as anodized aluminum. In cases where a cleaner plasma is required, the upper electrode ring 308 can be formed of pure materials such as Si (single crystal or polycrystalline silicon), CVD low resistivity SiC or any suitable high-purity conductive materials. To minimize the cost impact of using high-purity materials, the cross sectional dimension of the upper electrode ring 308 is minimized. Although a bolt-through design could be used, a clamp-in-place design simplifies the configuration of the upper electrode ring 308 to thereby lower the CoC and allow the use of a wider range of materials for contamination control. It is also noted that the lower and upper electrode rings 306, 308 may be formed of graphite or various carbon based materials including SiN, BN, and AlN, for instance.
The outer upper dielectric ring 310 is formed of a dielectric material, such as Al2O3, and may be coated with Y2O3. The outer upper dielectric ring 310 includes circumferentially spaced apart threaded holes 318 in its upper surface to receive bolts 316 for securing the outer upper dielectric ring 310 to the upper metal component 210. The outer upper dielectric ring 310 includes a protrusion or step (flange) 310 that is used to clamp a flange 308 a of the upper electrode ring 308 to the upper metal component 210. It is noted that each bolt 316 is screwed from the top side of the upper electrode assembly 204 so that the bolts are not exposed to and eroded by the plasma. The inner edge diameter of the outer upper dielectric ring 310 determines the outer diameter of the ring or donut shaped plasma.
The lower electrode assembly 206 includes a lower metal liner (collar) 314 which surrounds focus ring 238 a and three concentrically positioned rings: a lower configurable PEZ ring 260; a lower electrode ring or hoop ring 306; and an outer lower dielectric ring 312. The lower configurable PEZ ring 260, lower electrode ring 306, and lower metal liner 314 are supported by the bottom dielectric ring or focus ring 238 (more specifically, the upper portion 238 a of the bottom dielectric ring) and liner 314. The lower electrode ring 306 is clamped against an upper surface of the lower metal liner 314 by the outer lower dielectric ring 312, wherein the lower metal liner 314 is connected to the chamber wall 202 for grounding. The focus ring 238 a electrically separates the lower electrode ring 306 from the upper portion 226 a of the powered electrode.
The powered electrode 226 is preferably formed of a metal, such as anodized aluminum. If the powered electrode 226 is exposed to and eroded by the plasma in cases where high cleanness plasma is required, it would be desirable to use a high purity material for the electrode 226 to meet the cleanness requirement. Because the lower configurable PEZ ring 260 is designed to shield the powered electrode 226 from the plasma, the powered electrode 226 can be formed of lower purity metals or materials regardless of the cleanness requirement.
As shown in FIG. 4B, the lower configurable PEZ ring 260 has two recesses or steps respectively formed on its inner and outer edges, wherein the step formed by vertical surface 260 a and the horizontal surface 260 b on the lower inner edge mates with surfaces on the outer edge of the upper portion 226 a of the powered electrode and a step formed by horizontal surface 260 c and vertical surface 260 d mate with surfaces on the focus ring 238 a. The lower configurable PEZ ring 260 can be replaced with a PEZ ring providing a different sized bottom plasma exclusion zone. The distance D2 formed by the second step is referred to as bottom edge exclusion zone and by varying the width of upper surface 260 e it is possible to change the bottom PEZ 404 which is equal to the radius of the substrate 218 minus the distance D2. Due to plasma erosion, the lower configurable PEZ ring 260 will be replaced more frequently than the other parts of the lower electrode assembly 206 and considered as a consumable component. Typically, process gases may include an oxygen-containing gas, such as O2. Small amounts, such as <10%, of a fluorine-containing gas, such as CF4, SF6, or C2F6, may also be added to clean the bevel edge. Plasma containing these reactive gases may erode the lower configurable PEZ ring 260, necessitating periodic replacement of the lower configurable PEZ ring 260. For easy access to the lower configurable PEZ ring 260 during replacement, the lower configurable PEZ ring 260 is removably mounted on the steps of the upper portion 226 a of the powered electrode and the focus ring 238 a, and can be replaced without removing the lower electrode assembly 206 from the chamber wall 202.
As discussed above, the substrate 218 is mounted on the upper surface 206 e (FIG. 4B) of the lower configurable PEZ ring 260. The heights H1 and H2 determine the vertical separation between the substrate 218 and powered electrode 226. For repeatable alignment therebetween, the heights H1 and H2 are preferably precisely controlled.
The lower configurable PEZ ring 260 protects the powered electrode 226 from attack by the plasma used to effect the bevel cleaning. The lower configurable PEZ ring 260 is formed of an electrically conductive, semiconductive or dielectric material, such as a ring entirely of aluminum oxide (Al2O3), aluminum nitride (AlN), silicon oxide (SiO2), silicon carbide (SiC), silicon nitride (Si3N4), silicon (Si), yttria (Y2O3) or other materials or the support ring 124 can be a composite ring of metal, ceramic or polymer coated with a conductive or dielectric material such as Si, SiC or Y2O3, e.g., a ceramic (preferably Al2O3), or pure material, such as Si (single crystal or polycrystalline silicon), CVD high resistivity SiC or the like, to reduce contamination of the substrate 218 during a cleaning operation. In general, it is difficult to drill and tap threaded holes in some ceramics. The lower configurable PEZ ring 260 does not require threaded holes to be secured to the focus ring 238 a, providing flexibility in selecting the material therefore. The lower configurable PEZ ring 260 may be also formed of a material having a high electrical resistance, preferably, but not limited to, ˜105 ohm-cm. As the electrical coupling between the powered electrode 226 and the lower electrode ring 306 is affected by the electrical properties of the lower configurable PEZ ring 260, the plasma characteristics can be controlled by varying the material and/or configuration of the lower configurable PEZ ring 260.
The lower electrode ring 306 is connected to and grounded via the lower metal liner 314. Instead of using threaded fastening mechanisms, such as bolts, the lower electrode ring 306 is preferably held in place by the clamping force of the outer lower dielectric ring 312. For example, an outer flange 306 a on the electrode ring 306 can be engaged with an inner flange 312 a on the dielectric ring 312 whereby electrode ring 306 is clamped against the liner 314. As such, plasma contaminants that might otherwise originate from erosion of exposed fastening mechanisms can be obviated. The lower electrode ring 306 is preferably formed of a metal, such as anodized aluminum. In cases where a cleaner plasma is required, the lower electrode ring 306 may be formed of high purity materials such as pure Si (e.g., single crystal or polycrystalline silicon), CVD low resistivity SiC or any suitable high-purity conductive materials. To minimize the cost impact of using high-purity materials, the cross sectional dimensions of the lower electrode ring 306 can be minimized. Use of a clamp-in-place design simplifies the configuration of lower electrode ring 306 and thereby lowers the CoC through use of a wider range of materials for contamination control.
The outer lower dielectric ring 312 is formed of a dielectric material, such as Al2O3, and may be coated with Y2O3. The outer lower dielectric ring 312 includes a series of threaded holes 320 which receive bolts 322 for securing the outer lower dielectric ring 312 to the lower metal liner 314. As discussed above, the outer lower dielectric ring 312 includes a protrusion or step (flange) that is used to clamp the lower electrode ring 306 to the metal liner 314. It is noted that the bolts 322 are screwed from the bottom side of the lower electrode assembly 206 so that the bolts 322 are not exposed to and eroded by the plasma. The inner edge diameter of the outer lower dielectric ring 312 determines the outer diameter of the ring or donut shaped plasma.
FIG. 5A shows a schematic cross sectional diagram of a bevel etcher 500 in accordance with another embodiment. FIG. 5B shows an enlarged schematic diagram of region B in FIG. 5A. As depicted, the components of the bevel etcher 500 are quite similar to those shown in FIG. 2. The differences are that the lower electrode assembly 506 includes a lower support 502 in place of the powered electrode 226 and the lower electrode ring 504 is coupled to an RF power source 508 via the lower metal liner 510. The lower support 502 is formed of a dielectric material and operates as a vacuum chuck to hold the substrate 518 in place during a bevel cleaning operation.
During operation, the RF power source 508 provides RF power to energize process gas provided through at least one of the gas feeds 512, 514 into plasma, wherein the RF power is supplied in one or more frequencies in a range, but not limited to, of ˜2 MHz to ˜13 MHz. In a variation, the upper electrode ring 516 is coupled to an RF power source while the lower electrode ring 504 is grounded.
FIG. 6 shows a schematic cross sectional diagram of a bevel etcher 600 in accordance with yet another embodiment. The components of the bevel etcher 600 are similar to those shown in FIGS. 5A and 5B. The difference is that the lower electrode assembly 602 includes a lower support 604 formed of a metal and the upper surface of lower support 604 is covered with a dielectric coating or layer 606. In a variation, the upper electrode ring 608 is coupled to an RF power source while the lower electrode ring 610 is grounded.
FIG. 7 shows a schematic cross sectional diagram of a bevel etcher 700 in accordance with a further embodiment. As depicted, the components of the bevel etcher 700 are similar to those shown in FIG. 2, with the difference that an electrostatic chuck 702 is used in place of the vacuum chuck. The electrostatic chuck 702 is disposed on a powered electrode 710 and holds a substrate 712 in place during a bevel cleaning operation. Upper and lower electrode rings 704, 706 are grounded while the powered electrode 710 is coupled to an RF source 708 for supplying power to generate the plasma.
FIG. 8 shows a schematic cross sectional diagram of a bevel etcher 800 in accordance with another embodiment. As depicted, the components of the bevel etcher 800 are similar to those in FIG. 7, i.e., an electrostatic chuck 802 is used to hold a substrate 812 in place during a bevel cleaning operation. The difference is that the upper electrode ring 804 is grounded while the lower electrode ring 806 is coupled to an RF power source 808 for supplying RF power to generate the plasma. In a variation, the upper electrode ring 804 is coupled to an RF power source while the lower electrode 806 is grounded.
FIG. 9 shows a schematic cross sectional diagram of a bevel etcher 900 in accordance with yet a further embodiment. The components in the etcher 900 are similar to those shown in FIG. 2. The difference is that, in this embodiment, a hollow cathode ring 904, which is made of a conductive material, such as aluminum, is located outside the outer upper and lower dielectric rings 912, 914. The hollow cathode ring 904 has a channel 906 that faces the bevel edge. It is noted that the hollow cathode ring 904 is moved in a vertical direction during loading/unloading a substrate 916 by a suitable device (not shown in FIG. 9).
The hollow cathode ring 904 is coupled to an RF power source 918 and both lower and upper electrode rings 908, 910 are grounded. The RF power source supplies RF power in a frequency range from ˜2 MHz to ˜13 MHz, for instance. In a variation, the upper electrode ring 910 is coupled to an RF power source while the lower electrode ring 908 and the hollow cathode ring 904 are grounded. In another variation, the lower electrode ring 908 is coupled to an RF power source while the upper electrode ring 910 and the hollow cathode ring 904 are grounded. In yet another variation, the hollow cathode ring 904 is also coupled to a high-frequency RF power source to generate plasma for cleaning the interior of the chamber 902 and the top surface of the vacuum chuck 920, wherein the high-frequency RF power source provides RF power in the range from ˜27 MHz to ˜60 MHz, for instance.
FIG. 10 shows a schematic cross sectional diagram of a bevel etcher in accordance with a further embodiment. The components of the bevel etcher 1000 are similar to those shown in FIG. 2. The difference is that an inductive coil(s) 1006 surrounds the substrate edge and the space between the outer lower dielectric ring 1016 and the outer upper dielectric ring 1014. The inductive coil(s) 1012 is embedded in a dielectric material 1006 that is coupled to a dielectric support 1004. The dielectric support 1004 includes a suitable mechanism (not shown in FIG. 10) for moving the inductive coil 1012 in a vertical direction during loading/unloading a substrate.
The inductive coil 1012 is coupled to an RF power source 1018. During the bevel edge cleaning process, the RF power source 1018 supplies RF power in a range, but not limited to, of ˜2 MHz to ˜13 MHz to generate an inductively coupled plasma near the substrate edge. The upper electrode ring 1010 and the lower electrode ring 1008 are grounded to provide a return path for the inductive plasma. The inductive coil 1012 provides cleaning plasma to clean the bevel edge. In a variation, the inductive coil 1012 is also coupled to a high-frequency RF power source to generate plasma for cleaning the interior of the chamber 1002 and the top surface of the vacuum chuck 1020, wherein the high-frequency RF power source provides RF power in the range of ˜27 MHz to ˜60 MHz, for instance.
It is noted that the embodiments in FIGS. 2 and 6-10 have a center gas feed and edge gas feeds. However, the number of gas feeds may be varied to achieve a desired distribution of gas to the substrate and/or vicinity of the bevel edge. Also, the upper dielectric plate may have any suitable number and disposition of holes.
FIG. 11A illustrates a partial cross-sectional view of semiconductor substrate 1100, which includes top surface 1100A, backside surface 1100B and bevel edge 1100C (indicated by the dashed circle). Bevel edge also includes apex A (indicated by the arrow).
FIG. 11B illustrates an exemplary partial cross-sectional view of semiconductor substrate 1100, including wraparound layer 1110A, such as ultra low-k interlayer dielectric layer (ILD) overlying top surface 1100A and bevel edge 1100C, including apex A. For example, layer 1110 can be deposited by chemical vapor deposition or a suitable spin-on technique. Layer 1110 can also be subjected to previous plasma etching steps to form openings such as vias or trenches in the layer 1110 (not shown in FIG. 11A). In one embodiment, layer 1110 can be an interlayer dielectric material. Additionally, the bevel edge 1100C can include unetched deposits 1100B of layer 1100A or plasma etch byproducts 1120, such as polymer deposits. The layer 1100 is not etched of the inwardly from the bevel edge 1100C.
Exemplary interlayer dielectric materials for layer 1100 can include SiO2/siloxane derivatives such as fluorine- and carbon-doped organosilicate glass (OSG) oxides, such as FLOWFILL® (manufactured by Trikon), BLACK DIAMOND® (manufactured by Applied Materials), CORAL® (manufactured by Novellus), AURORA® (manufactured by ASMI), organic polymers, such as JSR® (manufactured by JSR) and SiLK® (manufactured by Dow Chemical), or mesoporous glass and/or nanoporous glass, engineered with porogens which form pores in the dielectric material to enhance the low-k characteristics. Higher dielectric constant materials (k=3.8-4.0) can also be used, including borophosphosilicate glass (BPSG) and tetraethylorthosilicate (TEOS). For example, layer 1110 can be a single layer or multiple layers of low-k dielectric and/or photoresist materials.
FIGS. 11C-11E illustrate cross-sectional etching profiles for various stages of completion for bevel cleaning of substrate 1100. FIG. 11C illustrates the cross-sectional etching profile for incomplete bevel cleaning. If bevel cleaning is terminated prematurely, this incomplete etching of 1110A along bevel edge 1100C can be problematic due to peeling or flaking of remnants of layer 1110B, along bevel edge 1100C. As a result, this peeling or flaking can be a potential source of particulate contamination, which can decrease the process yield of semiconductor devices formed on substrate 1100.
FIG. 11D illustrates the cross-sectional cleaning profile in which the bevel edge 1100C has been partially cleaned. For a partially cleaned profile, layer 1110A overlying apex A has been removed, however, a portion of layer 1110A partially covers the bevel edge 1100C above apex A. For instance, the partial bevel clean can remove deposited material on the lower 60% to 90% of the bevel edge 1100C and leave some of the ILD on the top 10% to 40% of the bevel edge 1100C.
FIG. 11E illustrates the cross-sectional etching profile in which the bevel edge 1100C has been completely cleaned. For this profile, the portions of layer 1110 overlying the bevel edge 1100C are completely removed.
Although the FIG. 11E cross-sectional cleaning profile has been previously considered optimal, it has been determined that the partial coverage of bevel edge 1100C with overlying layer 1110A (FIG. 11D) results in an increase in process yield gain of up to 5% relative to the situation when bevel edge has been completely cleaned. It is believed that the partial coverage of bevel edge 1100C creates a more robust structure, during subsequent substrate processing (e.g., chemical mechanical polishing).
The degree of bevel edge cleaning can be controlled by using different configurations of upper PEZ ring 302. As illustrated in FIG. 4A, one parameter of upper PEZ ring 302 includes an outer diameter on a lower portion 302 a closest to the substrate 218. Testing was performed to determine the effect of varying outer diameter on a lower portion 302 a of upper PEZ ring 302 on the cleaning profile of the bevel edge.
EXAMPLE 1
A first set of experiments was performed in a 2300 CORONUS™ plasma-based bevel cleaning system (available from Lam Research Corporation, Fremont, Calif.) to determine the bevel cleaning profile for a first upper PEZ ring configuration. In one configuration, an upper PEZ ring 302 (i.e., FIG. 4A) with D1 from greater than 0 to 2 mm, was installed for cleaning a 300 mm substrate wafer. This configuration results in an outer diameter on a lower portion of upper PEZ of 296 mm to less than 300 mm (i.e. the upper PEZ ring has an outer diameter less than the substrate diameter). Before cleaning, the silicon substrate was thermally treated to form an overlying silicon oxide film.
FIG. 12A illustrates a partial cross-sectional view of silicon substrate 1200 with overlying silicon oxide layer 1210 before bevel cleaning. Silicon substrate 1200 includes top surface 1200A, backside surface 1200B, bevel edge 1200C (as indicated by the dashed circle) and apex A. Silicon oxide layer 1210 covers top surface 1200A, backside surface 1200B and wraps around bevel edge 1200C. A process gas was introduced into the reaction chamber of the bevel cleaning system, which was energized with RF power to clean the bevel edge with the plasma. For example, the process gas was a fluorine-containing gas (e.g., CF4, SF6, NF3 or C2F6) at a flow rate of about 10 SCCM to about 100 SCCM. The balance of the process gas was CO2, O2 and/or N2 with a total flow rate of about 200 SCCM to about 500 SCCM. The chamber pressure was from about 0.5 Torr to about 2 Torr. The RF power was from about 400 W to about 800 W.
FIG. 12B illustrates a partial cross-sectional view of silicon substrate 1200 after the bevel cleaning has been partially completed. As illustrated in FIG. 12B, top and bottom portions of bevel edge 1200C (indicated by the arrows) were the first regions of silicon substrate 1200 to be exposed. Thus, based on this cleaning profile, it has been determined that the etch rate maximum occurs at positions on the silicon substrate 1210 covering the top and bottom portions of bevel edge 1200C. Remnants of silicon oxide layer 1210 cover apex A of bevel edge 1200C.
FIG. 12C illustrates a partial cross-sectional view of silicon substrate 1200 after the bevel cleaning has been completed. Silicon oxide layer 1210 has been completely removed from bevel edge 1200C. Thus, an upper PEZ ring 302 in which D1 is greater than 0 to 2 mm for an outer diameter on a lower portion of the upper PEZ ring of 296 mm to less than 300 mm is a preferred configuration for achieving a bevel etch with a completely etched profile (i.e., FIG. 11E).
EXAMPLE 2
A second set of experiments was performed in a 2300 CORONUS™ plasma-based bevel cleaning system to determine the bevel cleaning profile of a second upper PEZ ring configuration. In a second embodiment, an upper PEZ ring 302 with a negative D1 value of 0.25 mm to 1.25 mm was installed for cleaning a 300 mm substrate wafer. This configuration results an outer diameter on a lower portion of the upper PEZ ring from 300.5 mm to 302.5 mm (i.e. the outer diameter of a lower portion of the upper PEZ ring is greater than the substrate diameter). Before cleaning, the silicon substrate coated with a tetraethylorthosilicate (TEOS or Si(OC2H5)4) film. A process gas was introduced into the reaction chamber of the bevel cleaning system, which was energized with RF power to clean the bevel edge with the plasma. For example, the process gas was a fluorine-containing gas (e.g., CF4, SF6, NF3 or C2F6) at a flow rate of about 10 SCCM to about 100 SCCM. The balance of the process gas was CO2, O2 and/or N2 with a total flow rate of about 200 SCCM to about 500 SCCM. The chamber pressure was from about 0.5 Torr to about 2 Torr. The RF power was from about 400 W to about 800 W.
FIG. 13A illustrates a partial cross-sectional view of silicon substrate 1300 with overlying TEOS layer 1310 before bevel cleaning. Silicon substrate 1300 includes top surface 1300A, backside surface 1300B, bevel edge 1300C (as indicated by the dashed circle) and apex A. TEOS layer 1310 covers top surface 1300A and wraps around a portion of bevel edge 1200C, covering apex A.
FIG. 13B illustrates a partial cross-sectional view of silicon substrate 1300 after the bevel cleaning has been partially completed. As illustrated in FIG. 13B, portions of the TEOS layer 1310 covering apex A have been removed. Thus, based on this cleaning profile, it has been determined that the etch rate maximum occurs at the apex A of bevel edge 1300C.
FIG. 13C illustrates a partial cross-sectional view of silicon substrate 1300 after the bevel cleaning has been completed. Although TEOS layer 1310 has been completely removed from the apex A of bevel edge 1300C, TEOS 1310 partially wraps around the upper portion of the bevel edge 1300C. As described above, in connection with FIG. 11D, the FIG. 13C etch profile results in an increase in process yield gain of up to 5% relative to the situation when bevel edge has been completely cleaned (e.g., FIG. 12C or FIG. 11E). Thus, an upper PEZ ring 302 with a negative D1 value of 0.25 mm to 1.25 for an outer diameter on a lower portion upper PEZ of 300.5 mm to 302.5 mm is a preferred configuration for achieving a partially cleaned profile (i.e., FIG. 13C or FIG. 11D).
In another embodiment, depending upon the degree of bevel edge cleaning, the upper PEZ ring radius can range from having the same diameter as the substrate to being up to 10% larger than the diameter of the substrate, (e.g., 0.5 mm to 5 mm larger in diameter or any value in between, in increments of 0.1 mm).
FIG. 14 provides a comparison for etching rate as a function of radial position for: (1) an outer diameter on a lower portion of an upper PEZ ring of 296 mm to less than 300 mm (less than the diameter of the substrate) (Example 1); and (2) an outer diameter on a lower portion of an upper PEZ ring of 300.5 mm to 302.5 mm (greater than the diameter of the substrate) (Example 2). As illustrated in FIG. 14, for Example 1, the maximum etch rate occurs at radial position of about 149.8 mm. However, for Example 2, the maximum etch rate occurs at radial position of about 150 mm. Etch rate was determined by measuring the thickness of an overlying layer by dual beam spectrometry (DBS) and spectroscopic ellipsometry (SE) to measure film properties, such as thickness and refractive index, of layers present on a semiconductor substrate (KLA TENCOR Model FSX, manufactured by KLA Tencor, located in San Jose, Calif.). However, because this technique is limited to characterizing a substrate radius of up to 149.5 mm, the remaining outmost 0.5 mm of the substrate was characterized by scanning electron microscopy (SEM). FIG. 14 illustrates that to maximize an etch rate at the apex of the substrate (i.e., a radial position of 150 mm), a bevel etcher can be configured with an upper PEZ ring 302, having a negative D1 value of 0.25 mm to 1.25 mm.
FIG. 14 also illustrates that the Example 2 embodiment has the ability to achieve differential cleaning rates as a function of radial position along substrate 1300, with a maximum etch rate at the apex A of bevel edge 1300C. From Example 2 of FIG. 14, the etching rate near the apex A (about 7,000 Å/minute at a radius at 150 mm) of the bevel edge is greater than the etch rate along the upper portion of the bevel edge (<2,000 Å/minute at a radius of about 149.8 mm).
The preferred embodiments are merely illustrative and should not be considered restrictive in any way. The scope of the invention is given by the appended claims, rather than the preceding description, and all variations and equivalents which fall within the range of the claims are intended to be embraced therein.

Claims (27)

What is claimed is:
1. A system for a bevel etcher that is configured to etch a bevel edge of a substrate, the system comprising:
the substrate; and
a lower plasma-exclusion-zone ring supporting the substrate and comprising
a ring-shaped body of the lower plasma-exclusion-zone ring defining
an upper surface,
a lower surface,
a radially inner surface, and
a radially outer surface,
a radially-outer stepped surface of the lower plasma-exclusion-zone ring extending inwardly into the ring-shaped body between the radially outer surface of the ring-shaped body and the upper surface of the ring-shaped body, and
a radially-inner stepped surface disposed under the substrate and extending inwardly into the ring-shaped body between the radially inner surface of the ring-shaped body and the lower surface of the ring-shaped body,
wherein the ring-shaped body is made of a material selected from a group consisting of aluminum oxide, aluminum nitride, silicon, silicon carbide, silicon nitride, and yttria.
2. The system of claim 1, wherein the radially-outer stepped surface includes:
a first surface parallel to the upper surface of the ring-shaped body and the lower surface of the ring-shaped body; and
a second surface parallel to the radially inner surface of the ring-shaped body and the radially outer surface of the ring-shaped body.
3. The system of claim 1, wherein:
the bevel etcher is configured to bevel etch the substrate having a single predetermined diameter;
the radially outer surface of the ring-shaped body has a diameter greater than the single predetermined diameter of the substrate; and
the radially inner surface of the ring-shaped body has a diameter less than the single predetermined diameter of the substrate.
4. The system of claim 1, wherein:
the upper surface of the ring-shaped body is parallel to the lower surface of the ring-shaped body; and
the radially inner surface of the ring-shaped body is parallel to the radially outer surface of the ring-shaped body.
5. The system of claim 1, wherein the radially-inner stepped surface includes:
a first surface parallel to the upper surface of the ring-shaped body and the lower surface of the ring-shaped body; and
a second surface parallel to the radially inner surface of the ring-shaped body and the radially outer surface of the ring-shaped body.
6. The system of claim 1, wherein portions of the radially-outer stepped surface located radially inwardly of an outer edge of the substrate define a lower edge exclusion zone.
7. The system of claim 1, wherein the radially-outer stepped surface underlies an outer peripheral bevel edge of the substrate.
8. The system of claim 1, wherein the ring-shaped body does not include threaded holes.
9. A bevel etcher comprising:
the system of claim 1 arranged below the substrate; and
an upper plasma-exclusion-zone ring arranged above the substrate.
10. The bevel etcher of claim 9, further comprising:
an electrode, wherein the lower plasma-exclusion-zone ring is supported on the electrode; and
a dielectric plate, wherein the upper plasma-exclusion-zone ring is supported on the dielectric plate.
11. A lower plasma-exclusion-zone ring for a bevel etcher that is configured to etch a bevel edge of a substrate, the lower plasma-exclusion-zone ring comprising:
a ring-shaped body of the lower plasma-exclusion-zone ring defining:
an upper surface;
a lower surface;
a radially inner surface; and
a radially outer surface; and
a radially-inner stepped surface of the lower plasma-exclusion-zone ring extending inwardly into the ring-shaped body between the radially inner surface of the ring-shaped body and the lower surface of the ring-shaped body,
wherein
a height of the radially-inner stepped surface and a height of the ring- shaped body define a size of a gap between an electrode of the bevel etcher and the substrate,
the size of the cap is greater than zero such that at least a portion of the substrate over the cap does not contact an upper most surface of the electrode, and
the ring-shaped body is made of a material selected from a group consisting of aluminum oxide, aluminum nitride, silicon, silicon carbide, silicon nitride, and yttria.
12. The lower plasma-exclusion-zone ring of claim 11, wherein the radially-inner stepped surface includes:
a first surface parallel to the upper surface of the ring-shaped body and the lower surface of the ring-shaped body; and
a second surface parallel to the radially inner surface of the ring-shaped body and the radially outer surface of the ring-shaped body.
13. The lower plasma-exclusion-zone ring of claim 11, wherein:
the bevel etcher is configured to bevel etch the substrate having a single predetermined diameter;
the radially outer surface of the ring-shaped body has a diameter greater than the single predetermined diameter of the substrate; and
the radially inner surface of the ring-shaped body has a diameter less than the single predetermined diameter of the substrate.
14. The lower plasma-exclusion-zone ring of claim 11, wherein:
the bevel etcher is configured to bevel etch the substrate having a single predetermined diameter;
an outer diameter of an upper inner portion of the ring-shaped body defines a bottom edge exclusion zone,
a width of the bottom edge exclusion zone corresponds to a difference between the single predetermined diameter of the substrate and the outer diameter of the upper inner portion of the ring-shaped body; and
the outer diameter of the upper inner portion of the ring-shaped body is less than a diameter of the radially outer surface and less than the single predetermined diameter of the substrate.
15. The lower plasma-exclusion-zone ring of claim 11, wherein:
the upper surface of the ring-shaped body is parallel to the lower surface of the ring-shaped body; and
the radially inner surface of the ring-shaped body is parallel to the radially outer surface of the ring-shaped body.
16. The lower plasma-exclusion-zone ring of claim 11, further comprising a radially-outer stepped surface extending inwardly into the ring-shaped body between the radially outer surface of the ring-shaped body and the upper surface of the ring-shaped body.
17. The lower plasma-exclusion-zone ring of claim 16, wherein the radially-outer stepped surface includes:
a first surface parallel to the upper surface of the ring-shaped body and the lower surface of the ring-shaped body; and
a second surface parallel to the radially inner surface of the ring-shaped body and the radially outer surface of the ring-shaped body.
18. The lower plasma-exclusion-zone ring of claim 11, wherein the radially-inner stepped surface is supported on at least one of a dielectric ring and an electrode of the bevel etcher.
19. The lower plasma-exclusion-zone ring of claim 11, wherein the ring-shaped body is adapted to be removably mounted on and removed from a step of an electrode of the bevel etcher and a step of a dielectric ring of the bevel etcher without requiring removal of the electrode from the bevel etcher.
20. The lower plasma-exclusion-zone ring of claim 11, wherein the ring- shaped body does not include threaded holes.
21. A bevel etcher comprising:
the lower plasma-exclusion-zone ring of claim 11 arranged below the substrate; and
an upper plasma-exclusion-zone ring arranged above the substrate.
22. The bevel etcher of claim 21, further comprising:
an electrode, wherein the lower plasma-exclusion-zone ring is supported on the electrode; and
a dielectric plate, wherein the upper plasma-exclusion-zone ring is supported on the dielectric plate.
23. The system of claim 1, wherein the radially-inner stepped surface is fully disposed under the substrate and radially inward of the bevel edge of the substrate.
24. The system of claim 1, wherein the radially-outer stepped surface is at least partially disposed under the substrate.
25. The system of claim 1, further comprising a power electrode and a focus ring, wherein the radially-inner stepped surface receives a portion of the power electrode and a portion of the focus ring.
26. A system comprising:
the lower plasma-exclusion-zone ring of claim 11;
the substrate; and
the electrode.
27. A system for a bevel etcher that is configured to etch a bevel edge of a substrate, the system comprising:
the substrate; and
a lower plasma-exclusion-zone ring supporting the substrate and comprising
a ring-shaped body of the lower plasma-exclusion-zone ring defining
an upper surface,
a lower surface,
a radially inner surface, and
a radially outer surface, and
a radially-outer stepped surface of the lower plasma-exclusion-zone ring extending inwardly into the ring-shaped body between the radially outer surface of the ring-shaped body and the upper surface of the ring-shaped body; and
an upper plasma-exclusion-zone ring disposed above the substrate and having a radially outer stepped surface,
wherein
a portion of the radially-outer stepped surface of the lower plasma-exclusion-zone ring is disposed under the substrate,
the radially inner surface is more radially inward than the radially- outer stepped surface of the upper plasma-exclusion-zone ring,
the radially outer surface of the ring-shaped body is more radially outward than the bevel edge of the substrate, and
the ring-shaped body is made of a material selected from a group consisting of aluminum oxide, aluminum nitride, silicon, silicon carbide, silicon nitride, and yttria.
US15/638,319 2007-01-26 2017-06-29 Lower plasma-exclusion-zone rings for a bevel etcher Active 2028-05-25 US10832923B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/638,319 US10832923B2 (en) 2007-01-26 2017-06-29 Lower plasma-exclusion-zone rings for a bevel etcher

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/698,190 US7943007B2 (en) 2007-01-26 2007-01-26 Configurable bevel etcher
US12/076,257 US8398778B2 (en) 2007-01-26 2008-03-14 Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US13/793,454 US10629458B2 (en) 2007-01-26 2013-03-11 Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US15/638,319 US10832923B2 (en) 2007-01-26 2017-06-29 Lower plasma-exclusion-zone rings for a bevel etcher

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/793,454 Continuation US10629458B2 (en) 2007-01-26 2013-03-11 Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter

Publications (2)

Publication Number Publication Date
US20170301566A1 US20170301566A1 (en) 2017-10-19
US10832923B2 true US10832923B2 (en) 2020-11-10

Family

ID=41065714

Family Applications (4)

Application Number Title Priority Date Filing Date
US12/076,257 Active 2030-08-15 US8398778B2 (en) 2007-01-26 2008-03-14 Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US13/793,454 Active 2029-07-06 US10629458B2 (en) 2007-01-26 2013-03-11 Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US15/638,313 Active 2028-05-06 US10811282B2 (en) 2007-01-26 2017-06-29 Upper plasma-exclusion-zone rings for a bevel etcher
US15/638,319 Active 2028-05-25 US10832923B2 (en) 2007-01-26 2017-06-29 Lower plasma-exclusion-zone rings for a bevel etcher

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US12/076,257 Active 2030-08-15 US8398778B2 (en) 2007-01-26 2008-03-14 Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US13/793,454 Active 2029-07-06 US10629458B2 (en) 2007-01-26 2013-03-11 Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US15/638,313 Active 2028-05-06 US10811282B2 (en) 2007-01-26 2017-06-29 Upper plasma-exclusion-zone rings for a bevel etcher

Country Status (7)

Country Link
US (4) US8398778B2 (en)
JP (1) JP5309164B2 (en)
KR (1) KR101597127B1 (en)
CN (1) CN102160153B (en)
SG (1) SG188843A1 (en)
TW (1) TWI545647B (en)
WO (1) WO2009114120A2 (en)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7597816B2 (en) * 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US7573420B2 (en) * 2007-05-14 2009-08-11 Infineon Technologies Ag RF front-end for a radar system
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
US8323523B2 (en) * 2008-12-17 2012-12-04 Lam Research Corporation High pressure bevel etch process
KR101540609B1 (en) * 2009-02-24 2015-07-31 삼성전자 주식회사 Apparatus for etching edge of wafer
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
KR200464037Y1 (en) 2009-10-13 2012-12-07 램 리써치 코포레이션 - edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
US8920564B2 (en) * 2010-07-02 2014-12-30 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8383469B2 (en) 2011-01-07 2013-02-26 Eastman Kodak Company Producing transistor including reduced channel length
US7985684B1 (en) * 2011-01-07 2011-07-26 Eastman Kodak Company Actuating transistor including reduced channel length
US8338291B2 (en) 2011-01-07 2012-12-25 Eastman Kodak Company Producing transistor including multiple reentrant profiles
US8304347B2 (en) 2011-01-07 2012-11-06 Eastman Kodak Company Actuating transistor including multiple reentrant profiles
US8847232B2 (en) 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including reduced channel length
US8847226B2 (en) 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including multiple reentrant profiles
JP5902896B2 (en) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 Substrate processing equipment
US8617942B2 (en) 2011-08-26 2013-12-31 Eastman Kodak Company Producing transistor including single layer reentrant profile
US8637355B2 (en) 2011-08-26 2014-01-28 Eastman Kodak Company Actuating transistor including single layer reentrant profile
US8592909B2 (en) 2011-08-26 2013-11-26 Eastman Kodak Company Transistor including single layer reentrant profile
US8803227B2 (en) 2011-09-29 2014-08-12 Eastman Kodak Company Vertical transistor having reduced parasitic capacitance
US8865576B2 (en) 2011-09-29 2014-10-21 Eastman Kodak Company Producing vertical transistor having reduced parasitic capacitance
US10937634B2 (en) * 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
KR101792941B1 (en) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 A Chemical Vapor Deposition Apparatus and Its Cleaning Method
KR102030470B1 (en) * 2016-07-01 2019-10-11 세메스 주식회사 Test method and apparatus for treating substrate
US11069553B2 (en) 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10879051B2 (en) * 2016-11-29 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for controlling exposure region in bevel etching process for semiconductor fabrication
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10741425B2 (en) 2017-02-22 2020-08-11 Lam Research Corporation Helium plug design to reduce arcing
US10460978B2 (en) 2017-03-08 2019-10-29 Lam Research Corporation Boltless substrate support assembly
JP6863199B2 (en) 2017-09-25 2021-04-21 トヨタ自動車株式会社 Plasma processing equipment
US11211282B2 (en) * 2018-06-15 2021-12-28 Applied Materials, Inc. Apparatus to reduce contamination in a plasma etching chamber
CN112992637A (en) * 2019-12-02 2021-06-18 Asm Ip私人控股有限公司 Substrate supporting plate, substrate processing apparatus including the same, and substrate processing method
US11139168B2 (en) * 2019-12-02 2021-10-05 Applied Materials, Inc. Chamber deposition and etch process
CN112981372B (en) * 2019-12-12 2024-02-13 Asm Ip私人控股有限公司 Substrate support plate, substrate processing apparatus including the same, and substrate processing method
CN111211078A (en) * 2020-01-14 2020-05-29 长江存储科技有限责任公司 Wafer calibration device and method and wafer edge etching equipment and method
KR102116474B1 (en) 2020-02-04 2020-05-28 피에스케이 주식회사 Substrate processing apparatus and substrate processing method
KR102275757B1 (en) * 2020-08-24 2021-07-09 피에스케이 주식회사 Apparatus for treating substrate
TWI745240B (en) * 2021-02-22 2021-11-01 天虹科技股份有限公司 Wafer carrying and fixing device and thin film deposition equipment using the wafer carrying and fixing device
CN115621109A (en) * 2021-07-16 2023-01-17 长鑫存储技术有限公司 Plasma processing apparatus
TWI787958B (en) * 2021-08-18 2022-12-21 南韓商Psk有限公司 Substrate processing apparatus and substrate processing method

Citations (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4875989A (en) 1988-12-05 1989-10-24 Texas Instruments Incorporated Wafer processing apparatus
US4899195A (en) 1988-01-29 1990-02-06 Ushio Denki Method of exposing a peripheral part of wafer
JPH02192717A (en) 1989-01-20 1990-07-30 Sharp Corp Resist removing device
JPH0582478A (en) 1991-09-20 1993-04-02 Sumitomo Precision Prod Co Ltd Etching method and device of wafer end
JPH05114583A (en) 1991-10-22 1993-05-07 Anelva Corp Dry etching device
US5213650A (en) 1989-08-25 1993-05-25 Applied Materials, Inc. Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
US5413673A (en) * 1985-09-24 1995-05-09 Anelva Corporation Plasma processing apparatus
JPH07142449A (en) 1993-11-22 1995-06-02 Kawasaki Steel Corp Plasma etching system
US5425846A (en) 1991-08-22 1995-06-20 At&T Corp. Removal of substrate perimeter material
US5556500A (en) * 1994-03-03 1996-09-17 Tokyo Electron Limited Plasma etching apparatus
US5919332A (en) 1995-06-07 1999-07-06 Tokyo Electron Limited Plasma processing apparatus
US5945351A (en) 1996-05-31 1999-08-31 Siemens Aktiengesellschaft Method for etching damaged zones on an edge of a semiconductor substrate, and etching system
US6004631A (en) 1995-02-07 1999-12-21 Seiko Epson Corporation Apparatus and method of removing unnecessary matter and coating process using such method
US6096161A (en) 1997-12-24 2000-08-01 Samsung Electronics Co., Ltd. Dry etching apparatus having means for preventing micro-arcing
US6284093B1 (en) * 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6406589B1 (en) 1998-12-22 2002-06-18 Speedfam-Ipec Co Ltd Processing apparatus for etching the edge of a silicon wafer
US6436303B1 (en) 1999-07-21 2002-08-20 Applied Materials, Inc. Film removal employing a remote plasma source
KR20030002241A (en) 2001-06-30 2003-01-08 주식회사 하이닉스반도체 Apparatus for cleaning of wafer edge
JP2004096086A (en) 2002-07-08 2004-03-25 Tokyo Electron Ltd Treatment equipment and processing method
US20040137745A1 (en) 2003-01-10 2004-07-15 International Business Machines Corporation Method and apparatus for removing backside edge polymer
WO2004100247A1 (en) 2003-05-12 2004-11-18 Sosul Co., Ltd. Plasma etching chamber and plasma etching system using same
US20040238488A1 (en) * 2003-05-27 2004-12-02 Choi Chang Won Wafer edge etching apparatus and method
US6837963B2 (en) 2001-05-10 2005-01-04 Renesas Technology Corp. Semiconductor device, method of producing a semiconductor device, and semiconductor substrate cleaning apparatus used for the production method
US6837967B1 (en) 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US20050005859A1 (en) * 2001-12-13 2005-01-13 Akira Koshiishi Ring mechanism, and plasma processing device using the ring mechanism
CN1595618A (en) 2003-05-27 2005-03-16 三星电子株式会社 Wafer edge etching apparatus and method
US20050178505A1 (en) 2002-03-04 2005-08-18 Young Yul Kim Electrode for dry etching a wafer
US20050189068A1 (en) * 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
JP2005283859A (en) 2004-03-29 2005-10-13 Jsr Corp Evaluation method of polymer for liquid crystal alignment agent, and liquid crystal alignment agent
US20050260771A1 (en) 2002-07-08 2005-11-24 Mitsuaki Iwashita Processing device and processing method
US20050263484A1 (en) * 2004-05-31 2005-12-01 Jong-Chul Park Adjustable shielding plate for adjusting an etching area of a semiconductor wafer and related apparatus and methods
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US20050284568A1 (en) 2004-06-28 2005-12-29 International Business Machines Corporation Removing unwanted film from wafer edge region with reactive gas jet
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US20060016561A1 (en) * 2004-07-20 2006-01-26 Sung-Sok Choi Semiconductor etching apparatus
KR20060016280A (en) 2004-08-17 2006-02-22 주식회사 하이닉스반도체 Apparatus for cleaning wafer edge using plasma
US20060051967A1 (en) 2004-09-03 2006-03-09 Lam Research Corporation Wafer bevel polymer removal
US20060086462A1 (en) 2004-10-21 2006-04-27 Nec Electronics Corporation Etching apparatus
KR20060037819A (en) 2004-10-28 2006-05-03 주식회사 하이닉스반도체 Apparatus for bevel etch of wafer edge and method for bevel etching using the same
US20060111078A1 (en) 2004-11-24 2006-05-25 Inventec Appliances Corp. Method of calculating fee for downloading data from WAP network
US20060278340A1 (en) 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Confined plasma with adjustable electrode area ratio
KR20070001493A (en) 2005-06-29 2007-01-04 주식회사 하이닉스반도체 Development free vapor apparatus for etching bevel of wafer
US20070026670A1 (en) 2005-07-29 2007-02-01 Holger Schuehrer Method of reducing contamination by removing an interlayer dielectric from the substrate edge
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US20070029044A1 (en) 2005-07-29 2007-02-08 Jusung Engineering Co., Ltd. Plasma etching apparatus
US7183181B2 (en) 2004-09-27 2007-02-27 Lsi Logic Corporation Dynamic edge bead removal
US7197178B2 (en) 2003-07-14 2007-03-27 Rudolph Technologies, Inc. Photoresist edge bead removal measurement
US20070068900A1 (en) * 2005-09-27 2007-03-29 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US7208326B2 (en) 2004-10-18 2007-04-24 Infineon Technologies Richmond Edge protection process for semiconductor device fabrication
US7217662B2 (en) 2004-03-24 2007-05-15 Kabushiki Kaisha Toshiba Method of processing a substrate
US7229504B2 (en) 2001-10-30 2007-06-12 Applied Materials, Inc. Methods and apparatus for determining scrubber brush pressure
KR20070066934A (en) 2005-12-21 2007-06-27 (주)소슬 Plasma etching chamber
US20070155133A1 (en) 2005-12-30 2007-07-05 Ralf Richter Method of reducing contamination by providing an etch stop layer at the substrate edge
US20070169891A1 (en) 2003-09-05 2007-07-26 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7256148B2 (en) 2005-05-12 2007-08-14 International Business Machines Corporation Method for treating a wafer edge
US20070186855A1 (en) 2006-02-15 2007-08-16 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US20070199658A1 (en) 2006-02-27 2007-08-30 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US20070251919A1 (en) 2006-04-28 2007-11-01 Imai Shin-Ichi Etching apparatus and etching method for substrate bevel
US7303462B2 (en) 2000-02-17 2007-12-04 Applied Materials, Inc. Edge bead removal by an electro polishing process
US7303463B2 (en) 2005-12-05 2007-12-04 Nec Electronics Corporation Semiconductor wafer polishing apparatus, and method of polishing semiconductor wafer
US7312880B2 (en) 2004-08-24 2007-12-25 Lsi Corporation Wafer edge structure measurement method
US7328713B2 (en) 2004-11-30 2008-02-12 Samsung Electronics Co., Ltd. Nozzle apparatus for stripping edge bead of wafer
US20080050923A1 (en) * 2006-08-25 2008-02-28 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US20080156772A1 (en) 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
US7399979B2 (en) 2003-05-23 2008-07-15 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US20080173401A1 (en) 2005-08-04 2008-07-24 Jusung Engineering Co., Ltd. Plasma etching apparatus
WO2008091667A1 (en) 2007-01-26 2008-07-31 Lam Research Corporation Configurable bevel etcher
US20080179297A1 (en) 2007-01-26 2008-07-31 Lam Research Corporation Bevel etcher with gap control
US20080179010A1 (en) 2007-01-26 2008-07-31 Lam Research Corporation Bevel etcher with vacuum chuck
US20080216864A1 (en) 2005-09-27 2008-09-11 Greg Sexton Method and system for distributing gas for a bevel edge etcher
US20090114244A1 (en) 2006-05-24 2009-05-07 Sexton Gregory S Edge electrodes with variable power
US7552736B2 (en) 2007-01-30 2009-06-30 Applied Materials, Inc. Process for wafer backside polymer removal with a ring of plasma under the wafer
US20090170334A1 (en) 2007-12-27 2009-07-02 Tong Fang Copper Discoloration Prevention Following Bevel Etch Process
US20090166326A1 (en) * 2006-05-24 2009-07-02 Sexton Gregory S Edge electrodes with dielectric covers
US20090188627A1 (en) 2005-09-27 2009-07-30 Tong Fang Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
WO2009114120A2 (en) 2008-03-14 2009-09-17 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7713380B2 (en) * 2004-01-27 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for backside polymer reduction in dry-etch process
US20100236717A1 (en) 2006-06-20 2010-09-23 Sosul Co., Ltd. Plasma Etching Chamber

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5413673A (en) * 1985-09-24 1995-05-09 Anelva Corporation Plasma processing apparatus
US4899195A (en) 1988-01-29 1990-02-06 Ushio Denki Method of exposing a peripheral part of wafer
US4875989A (en) 1988-12-05 1989-10-24 Texas Instruments Incorporated Wafer processing apparatus
JPH02192717A (en) 1989-01-20 1990-07-30 Sharp Corp Resist removing device
US5213650A (en) 1989-08-25 1993-05-25 Applied Materials, Inc. Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
US5425846A (en) 1991-08-22 1995-06-20 At&T Corp. Removal of substrate perimeter material
JPH0582478A (en) 1991-09-20 1993-04-02 Sumitomo Precision Prod Co Ltd Etching method and device of wafer end
JPH05114583A (en) 1991-10-22 1993-05-07 Anelva Corp Dry etching device
JPH07142449A (en) 1993-11-22 1995-06-02 Kawasaki Steel Corp Plasma etching system
US5556500A (en) * 1994-03-03 1996-09-17 Tokyo Electron Limited Plasma etching apparatus
US6004631A (en) 1995-02-07 1999-12-21 Seiko Epson Corporation Apparatus and method of removing unnecessary matter and coating process using such method
US5919332A (en) 1995-06-07 1999-07-06 Tokyo Electron Limited Plasma processing apparatus
US5945351A (en) 1996-05-31 1999-08-31 Siemens Aktiengesellschaft Method for etching damaged zones on an edge of a semiconductor substrate, and etching system
US6284093B1 (en) * 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6096161A (en) 1997-12-24 2000-08-01 Samsung Electronics Co., Ltd. Dry etching apparatus having means for preventing micro-arcing
US6406589B1 (en) 1998-12-22 2002-06-18 Speedfam-Ipec Co Ltd Processing apparatus for etching the edge of a silicon wafer
US6436303B1 (en) 1999-07-21 2002-08-20 Applied Materials, Inc. Film removal employing a remote plasma source
US7303462B2 (en) 2000-02-17 2007-12-04 Applied Materials, Inc. Edge bead removal by an electro polishing process
US6837963B2 (en) 2001-05-10 2005-01-04 Renesas Technology Corp. Semiconductor device, method of producing a semiconductor device, and semiconductor substrate cleaning apparatus used for the production method
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
KR20030002241A (en) 2001-06-30 2003-01-08 주식회사 하이닉스반도체 Apparatus for cleaning of wafer edge
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US7229504B2 (en) 2001-10-30 2007-06-12 Applied Materials, Inc. Methods and apparatus for determining scrubber brush pressure
US20050005859A1 (en) * 2001-12-13 2005-01-13 Akira Koshiishi Ring mechanism, and plasma processing device using the ring mechanism
US20050178505A1 (en) 2002-03-04 2005-08-18 Young Yul Kim Electrode for dry etching a wafer
US20050260771A1 (en) 2002-07-08 2005-11-24 Mitsuaki Iwashita Processing device and processing method
JP2004096086A (en) 2002-07-08 2004-03-25 Tokyo Electron Ltd Treatment equipment and processing method
US6837967B1 (en) 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US20040137745A1 (en) 2003-01-10 2004-07-15 International Business Machines Corporation Method and apparatus for removing backside edge polymer
WO2004100247A1 (en) 2003-05-12 2004-11-18 Sosul Co., Ltd. Plasma etching chamber and plasma etching system using same
US20050173067A1 (en) * 2003-05-12 2005-08-11 Dong-Soo Lim Plasma etching chamber and plasma etching system using same
US7615131B2 (en) 2003-05-12 2009-11-10 Sosul Co., Ltd. Plasma etching chamber and plasma etching system using same
US7399979B2 (en) 2003-05-23 2008-07-15 Nikon Corporation Exposure method, exposure apparatus, and method for producing device
US20040238488A1 (en) * 2003-05-27 2004-12-02 Choi Chang Won Wafer edge etching apparatus and method
CN1595618A (en) 2003-05-27 2005-03-16 三星电子株式会社 Wafer edge etching apparatus and method
US7197178B2 (en) 2003-07-14 2007-03-27 Rudolph Technologies, Inc. Photoresist edge bead removal measurement
US20070169891A1 (en) 2003-09-05 2007-07-26 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7713380B2 (en) * 2004-01-27 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for backside polymer reduction in dry-etch process
US20050189068A1 (en) * 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
US7217662B2 (en) 2004-03-24 2007-05-15 Kabushiki Kaisha Toshiba Method of processing a substrate
JP2005283859A (en) 2004-03-29 2005-10-13 Jsr Corp Evaluation method of polymer for liquid crystal alignment agent, and liquid crystal alignment agent
US7438765B2 (en) 2004-05-31 2008-10-21 Samsung Electronics Co., Ltd. Adjustable shielding plate for adjusting an etching area of a semiconductor wafer and related apparatus and methods
US20050263484A1 (en) * 2004-05-31 2005-12-01 Jong-Chul Park Adjustable shielding plate for adjusting an etching area of a semiconductor wafer and related apparatus and methods
US20050284568A1 (en) 2004-06-28 2005-12-29 International Business Machines Corporation Removing unwanted film from wafer edge region with reactive gas jet
US20060016561A1 (en) * 2004-07-20 2006-01-26 Sung-Sok Choi Semiconductor etching apparatus
KR20060016280A (en) 2004-08-17 2006-02-22 주식회사 하이닉스반도체 Apparatus for cleaning wafer edge using plasma
US7312880B2 (en) 2004-08-24 2007-12-25 Lsi Corporation Wafer edge structure measurement method
US7597816B2 (en) 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US20060051967A1 (en) 2004-09-03 2006-03-09 Lam Research Corporation Wafer bevel polymer removal
US7183181B2 (en) 2004-09-27 2007-02-27 Lsi Logic Corporation Dynamic edge bead removal
US7208326B2 (en) 2004-10-18 2007-04-24 Infineon Technologies Richmond Edge protection process for semiconductor device fabrication
US20060086462A1 (en) 2004-10-21 2006-04-27 Nec Electronics Corporation Etching apparatus
US8123901B2 (en) 2004-10-21 2012-02-28 Renesas Electronics Corporation Etching apparatus
KR20060037819A (en) 2004-10-28 2006-05-03 주식회사 하이닉스반도체 Apparatus for bevel etch of wafer edge and method for bevel etching using the same
US20060111078A1 (en) 2004-11-24 2006-05-25 Inventec Appliances Corp. Method of calculating fee for downloading data from WAP network
US7328713B2 (en) 2004-11-30 2008-02-12 Samsung Electronics Co., Ltd. Nozzle apparatus for stripping edge bead of wafer
US7256148B2 (en) 2005-05-12 2007-08-14 International Business Machines Corporation Method for treating a wafer edge
US20060278340A1 (en) 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Confined plasma with adjustable electrode area ratio
KR20070001493A (en) 2005-06-29 2007-01-04 주식회사 하이닉스반도체 Development free vapor apparatus for etching bevel of wafer
US20070026670A1 (en) 2005-07-29 2007-02-01 Holger Schuehrer Method of reducing contamination by removing an interlayer dielectric from the substrate edge
US7410885B2 (en) 2005-07-29 2008-08-12 Advanced Micro Devices, Inc. Method of reducing contamination by removing an interlayer dielectric from the substrate edge
US7879187B2 (en) 2005-07-29 2011-02-01 Jusung Engineering Co., Ltd. Plasma etching apparatus
US20070029044A1 (en) 2005-07-29 2007-02-08 Jusung Engineering Co., Ltd. Plasma etching apparatus
US20080173401A1 (en) 2005-08-04 2008-07-24 Jusung Engineering Co., Ltd. Plasma etching apparatus
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US20070068900A1 (en) * 2005-09-27 2007-03-29 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US20090188627A1 (en) 2005-09-27 2009-07-30 Tong Fang Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
WO2007038580A2 (en) 2005-09-27 2007-04-05 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US8083890B2 (en) 2005-09-27 2011-12-27 Lam Research Corporation Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US20080216864A1 (en) 2005-09-27 2008-09-11 Greg Sexton Method and system for distributing gas for a bevel edge etcher
US7303463B2 (en) 2005-12-05 2007-12-04 Nec Electronics Corporation Semiconductor wafer polishing apparatus, and method of polishing semiconductor wafer
KR20070066934A (en) 2005-12-21 2007-06-27 (주)소슬 Plasma etching chamber
US8426312B2 (en) 2005-12-30 2013-04-23 Globalfoundries Inc. Method of reducing contamination by providing an etch stop layer at the substrate edge
US20070155133A1 (en) 2005-12-30 2007-07-05 Ralf Richter Method of reducing contamination by providing an etch stop layer at the substrate edge
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US20070186855A1 (en) 2006-02-15 2007-08-16 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US20070199658A1 (en) 2006-02-27 2007-08-30 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US20070251919A1 (en) 2006-04-28 2007-11-01 Imai Shin-Ichi Etching apparatus and etching method for substrate bevel
US7858053B2 (en) 2006-04-28 2010-12-28 Panasonic Corporation Etching apparatus and etching method for substrate bevel
US7938931B2 (en) 2006-05-24 2011-05-10 Lam Research Corporation Edge electrodes with variable power
US20090166326A1 (en) * 2006-05-24 2009-07-02 Sexton Gregory S Edge electrodes with dielectric covers
US20090114244A1 (en) 2006-05-24 2009-05-07 Sexton Gregory S Edge electrodes with variable power
US20100236717A1 (en) 2006-06-20 2010-09-23 Sosul Co., Ltd. Plasma Etching Chamber
US20080050923A1 (en) * 2006-08-25 2008-02-28 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US7718542B2 (en) * 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US20080156772A1 (en) 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
US20080179010A1 (en) 2007-01-26 2008-07-31 Lam Research Corporation Bevel etcher with vacuum chuck
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US20080179297A1 (en) 2007-01-26 2008-07-31 Lam Research Corporation Bevel etcher with gap control
US20080182412A1 (en) 2007-01-26 2008-07-31 Lam Research Corporation Configurable bevel etcher
WO2008091667A1 (en) 2007-01-26 2008-07-31 Lam Research Corporation Configurable bevel etcher
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US20130264015A1 (en) 2007-01-26 2013-10-10 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US9053925B2 (en) * 2007-01-26 2015-06-09 Lam Research Corporation Configurable bevel etcher
US7552736B2 (en) 2007-01-30 2009-06-30 Applied Materials, Inc. Process for wafer backside polymer removal with a ring of plasma under the wafer
US20090170334A1 (en) 2007-12-27 2009-07-02 Tong Fang Copper Discoloration Prevention Following Bevel Etch Process
WO2009114120A2 (en) 2008-03-14 2009-09-17 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter

Non-Patent Citations (11)

* Cited by examiner, † Cited by third party
Title
Chinese Office Action (Notification of the First Office Action), corresponding to Chinese Patent Application No. 20080003211.3, dated Apr. 22, 2010 (6 pgs.).
International Search Report and Written Opinion dated Apr. 28, 2008 for PCT/US2008/000939.
Japanese Office Action with Partial English translation, corresponding to Japanese Patent Application No. 2009-547292; dated Apr. 13, 2012 (5 pgs).
Korean Office Action dated Aug. 17, 2015, by the Korean Patent Office in corresponding Korean Patent Application No. 2010-7020393 (5 pgs).
Notification of Examination Opinion dated Mar. 3, 2014 for Taiwan Patent Application No. 98108250.
PCT Notification of Transmittal of the International Search Report and the Written Opinion of the International Searching Authority,or the Declaration, corresponding to PCT/US2009/001506, dated Sep. 24, 2009 (11 pgs.).
Singapore Written Opinion, corresponding to Singapore Application No. 201200496-6; dated Jan. 11, 2013 (19 pgs.).
U.S. Appl. No. 11/698,190, filed Jan. 26, 2007.
U.S. Appl. No. 12/076,257, filed Mar. 14, 2008.
U.S. Appl. No. 13/793,454, filed Mar. 11, 2013.
U.S. Appl. No. 61/009,142, filed Dec. 27, 2007, Lam Research Corporation.

Also Published As

Publication number Publication date
KR20100138923A (en) 2010-12-31
US20170301565A1 (en) 2017-10-19
US20080227301A1 (en) 2008-09-18
TWI545647B (en) 2016-08-11
CN102160153B (en) 2015-03-11
JP2011514679A (en) 2011-05-06
US20170301566A1 (en) 2017-10-19
TW200949933A (en) 2009-12-01
US20130264015A1 (en) 2013-10-10
US10811282B2 (en) 2020-10-20
US8398778B2 (en) 2013-03-19
SG188843A1 (en) 2013-04-30
WO2009114120A3 (en) 2009-11-12
KR101597127B1 (en) 2016-02-25
WO2009114120A2 (en) 2009-09-17
JP5309164B2 (en) 2013-10-09
US10629458B2 (en) 2020-04-21
CN102160153A (en) 2011-08-17

Similar Documents

Publication Publication Date Title
US10832923B2 (en) Lower plasma-exclusion-zone rings for a bevel etcher
US7943007B2 (en) Configurable bevel etcher
US8721908B2 (en) Bevel etcher with vacuum chuck
US11756771B2 (en) Tunable upper plasma-exclusion-zone ring for a bevel etcher
CN101048856B (en) Yttria insulator ring for use inside a plasma chamber
US7829463B2 (en) Plasma processing method and plasma processing apparatus
US20080179297A1 (en) Bevel etcher with gap control
KR101743304B1 (en) High pressure bevel etch process
US20060151116A1 (en) Focus rings, apparatus in chamber, contact hole and method of forming contact hole
KR20230117890A (en) Plasma processing apparatus and semiconductor device manufacturing method

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STCF Information on status: patent grant

Free format text: PATENTED CASE