US20090188627A1 - Gas modulation to control edge exclusion in a bevel edge etching plasma chamber - Google Patents

Gas modulation to control edge exclusion in a bevel edge etching plasma chamber Download PDF

Info

Publication number
US20090188627A1
US20090188627A1 US12/021,177 US2117708A US2009188627A1 US 20090188627 A1 US20090188627 A1 US 20090188627A1 US 2117708 A US2117708 A US 2117708A US 2009188627 A1 US2009188627 A1 US 2009188627A1
Authority
US
United States
Prior art keywords
gas
edge
substrate
plasma
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/021,177
Other versions
US8083890B2 (en
US20110253312A9 (en
Inventor
Tong Fang
Yunsang Kim
Andrew D. Bailey, III
Olivier Rigoutat
George Stojakovic
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/237,327 external-priority patent/US20070068623A1/en
Priority claimed from US11/440,561 external-priority patent/US7909960B2/en
Application filed by Individual filed Critical Individual
Priority to US12/021,177 priority Critical patent/US8083890B2/en
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BAILEY, ANDREW D, III, FANG, TONG, KIM, YUNSANG, RIGOUTAT, OLIVIER, STOJAKOVIC, GEORGE
Priority to EP09705169A priority patent/EP2240957A4/en
Priority to JP2010544321A priority patent/JP5502756B2/en
Priority to SG2013005087A priority patent/SG187509A1/en
Priority to KR1020167005368A priority patent/KR20160028519A/en
Priority to PCT/US2009/000372 priority patent/WO2009097089A2/en
Priority to KR1020167034233A priority patent/KR20160145200A/en
Priority to CN201310482301.8A priority patent/CN103489744A/en
Priority to SG10201605996VA priority patent/SG10201605996VA/en
Priority to CN2009801038766A priority patent/CN101925985B/en
Priority to KR1020107016850A priority patent/KR20100123823A/en
Priority to TW098102851A priority patent/TWI427725B/en
Publication of US20090188627A1 publication Critical patent/US20090188627A1/en
Publication of US20110253312A9 publication Critical patent/US20110253312A9/en
Priority to US13/300,483 priority patent/US8349202B2/en
Publication of US8083890B2 publication Critical patent/US8083890B2/en
Application granted granted Critical
Priority to JP2013242935A priority patent/JP2014060440A/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/54Providing fillings in containers, e.g. gas fillings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Definitions

  • the present invention relates in general to substrate manufacturing technologies and in particular to apparatus and methods for the removal of deposited films and/or etch byproducts from a bevel edge of a substrate.
  • a substrate e.g., a semiconductor substrate (or wafer) or a glass panel such as one used in flat panel display manufacturing
  • plasma is often employed.
  • the substrate (or wafer) is divided into a plurality of dies, or rectangular areas. Each of the plurality of dies will become an integrated circuit.
  • the substrate is then processed in a series of steps in which materials are selectively removed (or etched) and deposited.
  • a substrate is coated with a thin film of hardened emulsion (such as a photoresist mask) prior to etching. Areas of the hardened emulsion are then selectively removed, causing parts of the underlying layer to become exposed.
  • the substrate is then placed on a substrate support structure in a plasma processing chamber. An appropriate set of plasma gases is then introduced into the chamber and a plasma is generated to etch exposed areas of the substrate.
  • etch byproducts for example polymers composed of Carbon (C), Oxygen (O), Nitrogen (N), Fluorine (F), etc.
  • C Carbon
  • Oxygen O
  • Nitrogen N
  • Fluorine F
  • Etch plasma density is normally lower near the edge of the substrate, which results in accumulation of polymer byproducts on the top and on the bottom surfaces of the substrate bevel edge.
  • the edge of the substrate typically between about 2 mm to about 15 mm from the substrate edge.
  • bonds that are normally strong and adhesive will eventually weaken during subsequent processing steps.
  • the purposely deposited films and polymer layers formed near the bevel edge would then peel or flake off, often onto another substrate during substrate transport.
  • substrates are commonly moved in sets between plasma processing systems via substantially clean containers, often called cassettes. As a higher positioned substrate is repositioned in the container, particles (or flakes) of purposely deposited film and byproducts on the bevel edge may fall on a lower substrate where dies are present, potentially affecting device yield.
  • Dielectric films such as SiN and SiO 2
  • metal films such as Al and Cu
  • Dielectric films are examples of films that are purposely deposited on the substrates. These films can also be deposited on the bevel edge (including the top and bottom surfaces) and do not get removed during etching processes. Similar to etching byproducts, these films at bevel edge can accumulate and flake off during subsequent processing steps, thereby impacting device yield.
  • Edge exclusion zone is a region, such as between about 2 mm to about 15 mm from the substrate edge, at the edge of the substrate that is not usable and does not have dies.
  • the target is to have usable area expended to less than about 2 mm from the edge of the substrate to increase usable area on the substrate. Therefore, the edge exclusion zone is targeted to be less than 2 mm.
  • the various embodiments provide apparatus and methods of removal of unwanted deposits near the bevel edge of substrates to improve process yield.
  • the embodiments provide apparatus and methods with center and edge gas feeds as additional process knobs for selecting a most suitable bevel edge etching processes to push the edge exclusion zone further outward towards the edge of substrates.
  • the embodiments provide apparatus and methods with tuning gas(es) to change the etching profile at the bevel edge and using a combination of center and edge gas feeds to flow process and tuning gases into the chamber. Both the usage of tuning gas and location of gas feed(s) affect the etching characteristics at bevel edge. Total gas flow, gap distance between the gas delivery plate and substrate surface, pressure, and types of process gas(es) are also found to affect bevel edge etching profiles.
  • a plasma etching processing chamber configured to etch a thin film on a bevel edge of a substrate.
  • the plasma etching processing chamber includes a bottom edge electrode surrounding a substrate support in the plasma processing chamber.
  • the substrate support is configured to receive the substrate and the bottom edge electrode and the substrate support are electrically isolated from each other by a bottom dielectric ring.
  • the plasma etching processing chamber also includes a top edge electrode surrounding a gas distribution plate opposing the substrate support.
  • the top edge electrode and the gas delivery plate are electrically isolated from each other by a top dielectric ring, and the top edge electrode and the bottom edge electrode are configured to generate an etching plasma near the bevel edge to remove the thin film on the bevel edge of the substrate.
  • the distance between the top edge electrode and the bottom edge electrode is less than about 1.5 cm to confine the treatment plasma.
  • the plasma etching processing chamber includes a center gas feed embedded in the gas delivery plate.
  • the center gas feed is configured to delivery either an etching process gas or a tuning gas into the plasma processing chamber through the center gas feed.
  • the plasma etching processing chamber includes a center gas selection controller coupled to a center gas manifold.
  • the center gas manifold is coupled to a plurality of etching processing and turning gases.
  • the center gas selection controller is coupled to the center gas feed and selects the etching process gas or the tuning gas delivered into the plasma processing chamber.
  • the plasma etching processing chamber includes an edge gas feed configured to deliver either the etching process gas or the tuning gas toward the bevel edge of the substrate, wherein the edge gas feed is disposed above the substrate.
  • the plasma etching processing chamber includes an edge gas selection controller coupled to an edge gas manifold, the edge gas manifold is coupled to the plurality of etching processing and turning gases.
  • the edge gas selection controller is coupled to edge gas feed and selects the etching process gas or the tuning gas delivered into the plasma processing chamber through the edge gas feed.
  • a method of etching a thin film on a bevel edge of a substrate in a plasma etching chamber includes placing the substrate on a substrate support in the plasma etching chamber.
  • the method also includes flowing an etching process gas through a center gas feed located or an edge gas feed.
  • the center gas feed and the edge gas feed are disposed above the substrate support.
  • the method further includes flowing a tuning process gas through the center gas feed located or the edge gas feed. The tuning gas is used to change the etching plasma characteristics at the bevel edge.
  • the method includes generating an etching plasma near the bevel edge of the substrate to etch the thin film on the bevel edge by powering a bottom edge electrode or a top edge electrode with a RF power source and grounding the edge electrode that is not powered by the RF power source.
  • the bottom edge electrode surrounds the substrate support and the top edge electrode surrounds the gas distribution plate, wherein the distance between the top edge electrode and the bottom edge electrode is less than about 1.5 cm to confine the treatment plasma.
  • the method includes etching the thin film by the generated etching plasma.
  • FIG. 1A shows a cross-sectional view of a thin film near a bevel etch, in accordance with one embodiment of the present invention
  • FIG. 1B shows a cross-sectional view of a thin film with the film on the bevel edge being removed, in accordance with one embodiment of the present invention.
  • FIG. 1C shows four different bevel edge etching profiles, in accordance with one embodiment of the present invention.
  • FIG. 2 shows a cross-sectional view of a plasma system configured to generate a bevel edge etching plasma, in accordance with one embodiment of the present invention.
  • FIG. 2A shows a cross-sectional view of center feeds, in accordance with one embodiment of the present invention.
  • FIG. 2B shows a cross-sectional view of a center feed with multiple gas sources, in accordance with one embodiment of the present invention.
  • FIG. 2C shows a cross-sectional view of edge feeds, in accordance with one embodiment of the present invention.
  • FIG. 2D shows a cross-sectional view of an edge feed with multiple gas sources, in accordance with one embodiment of the present invention.
  • FIG. 2E shows a cross-sectional view of an enlarged region M with bevel edge of FIG. 2 , in accordance with one embodiment of the present invention.
  • FIG. 2F shows a cross-sectional view of a plasma system configured to generate a bevel edge etching plasma, in accordance with another embodiment of the present invention.
  • FIG. 2G shows a top view of a top chamber assembly of the plasma system of FIG. 2 , in accordance with an embodiment of the present invention.
  • FIG. 2H shows an enlarged diagram of a region around a center gas feed, in accordance with an embodiment of the present invention.
  • FIG. 2I shows an enlarged diagram of a region around an edge gas feed, in accordance with an embodiment of the present invention.
  • FIG. 2J shows a top view of a top chamber assembly of the plasma system of FIG. 2 , in accordance with another embodiment of the present invention.
  • FIG. 3A shows bevel etching profiles of 4 different etching processes, in accordance with one embodiment of the present invention.
  • FIG. 3D shows bevel etching profiles of 4 different etching processes, in accordance with another embodiment of the present invention.
  • FIG. 3C shows bevel etching profiles of 3 different etching processes, in accordance with one embodiment of the present invention.
  • FIG. 3D shows bevel etching profiles of 4 different etching processes, in accordance with another embodiment of the present invention.
  • FIG. 4 shows a process flow of generating a bevel edge etching plasma, in accordance with one embodiment of the present invention.
  • FIG. 11A shows a cross-sectional view of a substrate 105 that has a substrate body 100 with a front side 110 , a backside 120 and an edge 130 between the front and backsides, in accordance with one embodiment of the present invention.
  • Substrate body 100 could be a wafer without other films and features.
  • Substrate body 100 could also have various films and features from prior processing.
  • FIG. 1A there is a thin film layer 101 covering the substrate front side 110 and substrate edge 130 .
  • the thin film layer 101 could be a dielectric layer, such as silicon dioxide (SiO2), or silicon nitride (SiN), a metal layer, such as tantalum (Ta), tantalum nitride (TaN), cupper (Cu), or Aluminum (Al).
  • the thin film layer 101 can be a layer of photoresist or etching byproducts. Further, the thin film layer 101 could also be a dielectric layer or a metal layer mixed with photoresist and/or etching byproducts.
  • the thickness of the thin film 101 can range from a few angstroms to a few microns.
  • the thin film layer 101 extends to a distance Y from the substrate edge 130 of the substrate 105 .
  • the distance Y extends all the way to the center of backside surface 120 of substrate body 100 .
  • the distance Y is between about 2 mm to about 15 mm from the edge 130 .
  • the thin film layer 101 on the bevel edge needs to be removed to prevent accumulation of thin film that results in possible flaking during future substrate handling and other substrate processing.
  • the trend is to extend the usable area to the edge of substrate.
  • Distance “X” is the distance from the edge 130 that thin film layer 101 should be removed.
  • distance “X” is less than about 2 mm, preferable less than about 1 mm, and more preferably less than about 0.5 mm.
  • the surface area beyond distance X (towards the center of substrate) is considered usable area for constructing devices.
  • FIG. 1B shows that after a bevel edge etching process, the film on the bevel edge is removed.
  • the thin film layer 101 on the front side is removed to distance “X” from the edge 130 .
  • the substrate surface 110 with thin film layer 101 not removed during substrate etching in FIG. 1B is considered usable area.
  • FIG. 1C shows etch rates (ER) near the bevel edge for bevel edge plasma etching processes.
  • Curves 150 , 152 , 154 , and 156 show three different etch rate curves near bevel edge.
  • Curve 150 shows results of a conventional process that produces broader bevel etch profile near substrate edge. The etch rate is non-zero at a distance greater than 2 mm from the edge, such as edge 130 of FIG. 1A .
  • Curve 152 shows results of a process that produces a narrower bevel etch profile than curve 150 . The etch rate on the substrate surface is zero until about 2 mm from the edge.
  • Curves 154 and 156 are even narrower than curve 152 .
  • Etch rate is non-zero from edge to about 1 mm from substrate edge for curve 154 and to about 0.5 mm for curve 156 .
  • processes that can produce etch curves such as curve 152 , curve 154 and curve 156 , can be used.
  • edge exclusion zone For the purpose of reducing edge exclusion zone to less than about 2 mm from the edge of substrate, processes that produce curves 152 , 154 , and 156 are better than process that produces curve 150 .
  • FIG. 2 shows an embodiment of a bevel edge plasma processing chamber 200 for performing plasma etching near the bevel edge of the substrate.
  • Chamber 200 has a substrate support 240 with a substrate 250 on top.
  • the substrate support 240 is an electrostatic chuck, which is powered by a RF (radio frequency) power source (not shown).
  • the substrate support 240 is a regular electrode.
  • the substrate support 240 can be DC (direct current) or RF biased.
  • Opposing the substrate support 230 is a gas plate 260 with a center gas feed 261 .
  • the feed point 264 of the center gas feed 261 is near above the center of the substrate.
  • the center gas feed 261 is embedded in the gas plate 260 and located near the center of substrate 250 .
  • center gas feeds such as gas feeds 261 ′, 261 ′′, and 261 ′′, which are coupled to different gas sources, such as gas sources 271 ′′ (for gas X), 271 ′′ (for gas Y), and 271 ′′ (for gas Z), as shown in FIG. 2A .
  • different gas sources feed into a single center gas feed 261 , as shown in FIG. 2B .
  • the process chamber is also equipped with edge gas feeds 263 , which are located near the bevel edge of substrate 250 .
  • edge gas feeds such as gas feeds 263 ′, 263 ′′, and 263 ′′, at the proximity of a location, which are coupled to different gas sources, such as gas sources 273 ′′ (for gas M), 273 ′′ (for gas N), and 273 ′′ (for gas O), as shown in FIG. 2C .
  • different gas sources feed into a single edge gas feed at 263 a particular edge location, as shown in FIG. 2D . More details of the edge gas feeds 263 will be provided below.
  • the substrate support can also be RF powered, biased, or grounded.
  • chamber 200 can be RF powered to generate capacitively coupled etch plasma or inductively coupled etch plasma.
  • the substrate 250 has a bevel edge 217 that includes a top and a bottom surface of the edge of the substrate, as shown in region F of FIG. 2 and enlarged region M in FIG. 2E .
  • bevel edge 217 is highlighted as a bold solid line and curve.
  • FIG. 2F shows an embodiment of a bevel edge etching process chamber 250 .
  • the process chamber 250 has a center feed 261 P for process gas, and a center feed 261 T for tuning gas. Both center gas feeds 261 P , 261 T are coupled to a center gas select 275 C , which is coupled to a center gas manifold 276 C .
  • the center gas manifold 276 C is coupled to a number of gas tanks that supplied various process gases and tuning gas(es) (not shown). Alternatively, there could be more than one center gas feeds 261 P for process gases and more one center gas feeds 261 T for tuning gases, as described above in FIGS. 2A and 2C .
  • the process chamber 250 also has a number of edge feeds 263 P for process gas, and a number of edge feeds 263 T for tuning gas. All edge gas feeds 261 P , 261 T are coupled to an edge gas select 275 E , which is coupled to an edge gas manifold 276 E .
  • the center gas manifold 276 E is coupled to a number of gas tanks that supplied various process gases and tuning gas(es) (not shown).
  • the center gas select 275 C receives instructions from a chamber process controller 277 and chooses whether and which gas(es) goes into the center gas feeds, 261 P , 261 T .
  • the edge gas select 275 E receives instructions from a chamber process controller 277 and chooses whether and which gas(es) goes into the edge gas feeds, 263 P , 263 T .
  • the chamber process controller 277 is also coupled to other parts of process chamber 250 to controller other process parameters, such as temperature, pressure and movement of the substrate support 240 .
  • the chamber process controller 277 is coupled to a processor 278 , which is coupled to a key board 280 and a monitor 279 . Operators of the processing system 250 can enter instruction through the keyboard 280 and the instruction and process condition can be displayed in the monitor 279 .
  • FIG. 2G shows an embodiment of a top view of the chamber top assembly 280 of FIG. 2 .
  • the top assembly 280 includes the chamber top wall 285 (not shown in FIG. 2G ) and a gas delivery plate 260 , a top dielectric ring 211 , a top edge electrode, and a top insulating ring 215 .
  • the gas delivery plate 260 , the top dielectric ring 211 , the top edge electrode, and the top insulating ring 215 are coupled to the top chamber wall 285 .
  • the center gas feed 281 is embedded in the gas delivery plate 260 . In the embodiment shown in FIG.
  • edge gas feeds 263 there are 8 locations of edge gas feeds 263 , which are disposed between the top dielectric ring 211 and the top edge electrode 210 .
  • the 8 locations are evenly distributed around the diameters of the top dielectric ring 211 .
  • the 8 locations are merely used as examples. Other number of locations, such as 4-56 locations, can be used too.
  • FIG. 2H shows an embodiment of an enlarged diagram of a region 281 around the center gas feed 261 of FIG. 2G .
  • the embodiment shown in FIG. 2H illustrates that there could be more than one center gas feeds. Any reasonable and needed number of center gas feeds is allowed.
  • FIG. 2I shows an embodiment of an enlarged diagram of a region 283 around the edge gas feed 263 of FIG. 2G .
  • the embodiment shown in FIG. 2I illustrates that there could be more than one edge gas feeds at each edge location. Any reasonable and needed number of edge gas feeds at each edge location is allowed.
  • FIG. 2 J shows another embodiment of edge gas feed 263 of FIG. 2 .
  • edge gas feed 263 ′ is a gas ring between the top dielectric ring 211 and the top edge electrode 210 .
  • Process gas(es) and/or tuning gas(es) can be delivered evenly to the process chamber through the gas ring 263 ′.
  • bottom edge electrode 220 Surrounding the edge of substrate support 240 , there is a bottom edge electrode 220 , made of conductive materials, such as aluminum (Al). Between the substrate support 240 and the bottom edge electrode 220 , there is a bottom dielectric ring 221 electrically separating the substrate support 240 and the bottom edge electrode 220 . In one embodiment, substrate 250 is not in contact with the bottom edge electrode 220 . Beyond the bottom edge electrode 220 , there is another bottom insulating ring 225 , which extends the surface of the bottom edge electrode 220 facing substrate 250 .
  • top edge electrode 210 Surrounding the gas plate 260 , there is a top edge electrode 210 , made of conductive materials, such as aluminum (Al). The top edge electrode 210 is electrically insulated from the gas plate 260 by a top dielectric ring 211 . As mentioned above, the edge gas feed(s) 263 provides process gas(s) to the bevel edge 217 of substrate 250 . In one embodiment, the edge gas feeds 263 provide process gas(s) to feeding points 262 facing the bevel edge 217 of substrate 260 and are between the top edge electrode 210 and the top dielectric ring 211 . Beyond the top edge electrode 210 , there is top insulating ring 215 , which extends the surface of the top edge electrode 210 facing substrate 250 .
  • the bottom edge electrode 220 is coupled to an RF power source 223 and the top edge electrode 210 is grounded.
  • the RF power source 223 supplies RF power at a frequency between about 2 MHz to about 60 MHz and a power between about 100 watts to about 2000 watts to generate a treatment plasma.
  • the substrate support 240 and the gas delivery plate 260 are kept electrically floating.
  • the bottom electrode 240 is coupled to an RF power source 224 .
  • the RF power source 224 supplies RF power at a frequency between about 2 MHz to about 60 MHz and a power between about 100 watts to about 2000 watts to generate a treatment plasma.
  • LAM2P560 filed on May 24, 2006, entitled “Apparatus and Methods to Remove Films on Bevel Edge and Backside of Wafer”
  • U.S. patent application Ser. No. 11/355,458 (Atty. Docket No. LAM2P537), filed on Feb. 15, 2006, entitled “Plasma Processing Reactor with Multiple Capacitive and Inductive Power Sources”
  • U.S. patent application Ser. No. 11/363,703 (Atty. Docket No. LAM2P538), filed on Feb. 27, 2006, entitled “Integrated Capacitive and Inductive Power Sources for a Plasma Etching Chamber.”
  • the disclosure of each of the above-identified related applications is incorporated herein by reference.
  • the space between the top edge electrode 210 and the bottom edge electrode 220 , DEE is less than 1.5 cm to ensure the plasma is confined.
  • a DEE of less than 1.5 cm allows the ratio between the width (D W ) and gap (DEE) of the opening near substrate edge to be less than 4:1, which ensures plasma confinement.
  • D W is the width of the opening near the substrate edge.
  • D W is the width of the bottom insulating ring 225 or the width of the top insulating ring 215 .
  • the chamber pressure is kept between about 20 mTorr to about 100 Torr, and preferably between about 100 mTorr to about 2 Torr, during the bevel edge etching process.
  • the spacing between the gas distribution plate 260 and substrate 250 , DS is less than 0.6 mm to ensure no plasma is formed between the top electrode 260 and the substrate 250 during the bevel edge etching process.
  • the embodiment of plasma chamber 200 shown in FIG. 2 is merely an example. Other embodiments of plasma chamber for bevel edge etching are also possible.
  • the RF power supply can be coupled to the top edge electrode 210 , while the bottom edge electrode 220 is grounded to generate the capacitively coupled etching plasma.
  • either the top edge electrode 210 or the bottom edge electrode 220 can be replaced with an inductive coil buried in a dielectric material.
  • the inductive coil is coupled to a RF power source and the opposing edge electrode is grounded.
  • the RF power source supplies power to generate an inductively coupled etching plasma to treat the bevel edge 217 .
  • etching dielectric film is used for these studies.
  • the process (etching) gases include NF 3 and CO 2 .
  • the film etched is silicon oxide film (SiO 2 ) deposited from tetra-ethyl-ortho-silicate (TEOS).
  • the tuning gas which is not a reactive gas, used in the study includes nitrogen (N 2 ), argon (Ar), and helium (He).
  • N 2 nitrogen
  • Ar argon
  • He helium
  • other types of non-reactive gas such as other inert gases, can also be used as tuning gas.
  • the pressure is about 1500 mTorr.
  • the gap distance between the gas delivery plate 260 and surface of substrate 250 is about 0.4 mm.
  • FIG. 3A shows a plot of normalized etch rates on different locations on the substrate surface near bevel edge.
  • the normalized etch rates are plotted with the distance from the center of the substrate.
  • the etch rates are normalized to the etch rate at 149.4 mm from the center of the substrate.
  • the substrate has a diameter of 300 mm and a radius of 150 mm.
  • Curve 301 shows the results of the reference process with 10 sccm NF 3 and 200 sccm CO 2 fed from center gas feed(s).
  • Data for curve 302 are generated using a process similar to the process of curve 301 , but with the CO 2 gas flow increased from 200 sccm to 500 sccm.
  • Curve 303 shows etching results of a process with 10 sccm NF 3 and 200 sccm CO 2 fed from center process gas feed, and with an additional 300 sccm N 2 tuning gas (non-reactive gas) fed from the center gas feed.
  • Curve 304 shows etching results of a process with 10 sccm NF 3 and 200 sccm CO 2 fed from center process gas feed, and with an additional 500 sccm N 2 tuning gas (non-reactive gas) fed from the center gas feed.
  • FIG. 3B shows a plot of normalized etch rates of 4 different processes on the substrate surface.
  • Curve 305 shows the reference process with 10 sccm NF 3 and 200 CO 2 fed from the center gas feed 261 .
  • Curve 305 is identical to curve 301 of FIG. 3A .
  • Data for curve 306 are generated using a process similar to the process of curve 305 , with the exception that both the NF 3 gas and CO 2 gas are fed from edge gas feed(s), such as edge gas feed 263 . Comparing curves 305 and 306 , the results show that feeding process gases NF 3 and CO 2 from edge gas feed(s) pushes the etch rate curve toward the bevel edge.
  • Processing gas fed from edge gas feeds extends the area with zero etch rate to about 2 mm from the edge of substrate. In contrast, when the processing gas is fed from the center gas feed, the etch rate is not zero even when the distance is 3 mm from the edge of substrate.
  • Curve 307 uses a process similar with curve 305 (reference process) with process gases fed from center gas feed(s), and with an additional 500 sccm N 2 tuning gas (non-reactive gas) fed from center gas feed.
  • Curve 308 a process similar with curve 306 , with process gases fed from edge gas feed(s), and with a 500 sccm N 2 tuning gas (non-reactive gas) fed from center gas feed. The results show that the 500 sccm N 2 tuning gas feed from the center gas feed help to push the edge of zero etch rate from 2 mm of curve 306 (process gases fed from edge) to 1.8 mm of curve 308 (process gases fed from edge). As shown in FIG.
  • the 500 sccm N 2 tuning gas feed from the center gas feed helps to push the edge of zero etch rate from greater than 3 mm for curve 305 (process gases fed from center) to 2.6 mm for curve 307 (process gases fed from center).
  • the results favor feeding process gases from the edge, in comparison to feeding process gases from the center.
  • the results also show that 500 sccm of N 2 turning gas from center gas feed(s) also can push the boundary of zero etch rate further towards the edge of substrate.
  • comparing the results of the reference process to a process with 20 sccm NF 3 and 400 sccm CO 2 (2 ⁇ total flow) fed from center gas feed shows that increased total flow help to push the etch rate profile outward towards the edge of the substrate.
  • the edge of zero etch rate is at about 2.2 mm from the edge of substrate.
  • the edge of zero etch rate for the reference process is more than 3 mm from the edge of substrate.
  • FIG. 3C compares the results of 3 different processes.
  • Curve 309 is generated using the process with 10 sccm NF 3 and 200 sccm CO 2 at the substrate edge, and with an additional 750 sccm N 2 tuning gas fed from center. The process is run at normal gap space of 0.4 mm. The results of curve 309 is very close to curve 308 of FIG. 3B . As mentioned above, the results of using 750 sccm N 2 tuning gas and 500 sccm N 2 gas at center feed(s) are quite close.
  • Curve 310 uses the same process as curve 309 , with the exception of using a gap space of 0.35 mm between gas delivery plate and the substrate.
  • Curve 311 uses the same process as curve 309 , with the exception of using a gap space of 0.45 mm between gas delivery plate and the substrate. The results show that a gap space of 0.4 mm yields the best results.
  • FIG. 3D compares the results of 4 different processes.
  • Curve 312 is generated using a process with 10 sccm NF 3 and 200 seem CO 2 fed at the substrate edge.
  • Curve 313 is generated using the same process as curve 309 , but with an additional 500 sccm N 2 tuning gas fed at the center gas feed. The results show similar conclusion, as the previously mentioned, that adding 500 sccm N 2 tuning gas helps push the edge of zero etch rate further outward (comparing curves 306 and 308 of FIG. 3B ).
  • Curve 314 uses the same process as curve 313 , but with a different tuning gas Ar at the same flow rate of 500 sccm.
  • Curve 315 uses a process with 10 sccm NF 3 and 200 sccm CO 2 at the substrate edge (similar to curves 312 , 313 , and 314 ), but the tuning gas fed at center gas feed is a combination of 200 sccm N 2 with 500 sccm helium (He). The results show that the combination of 200 sccm N 2 with 500 sccm He yields best results.
  • FIG. 4 shows an exemplary process flow 400 of generating a bevel edge etching plasma by feeding process gas from edge gas feed(s) and feeding a tuning gas from center gas feed(s) to a process chamber.
  • a substrate is place on a substrate support in a bevel edge etch plasma chamber.
  • process gas(es) is fed to either an edge gas feed(s) or a center gas feed(s) in the processing chamber.
  • a tuning gas(es) is fed to either an edge gas feed(s) or a center gas feed(s) in the processing chamber.
  • an etching plasma is generated near the bevel edge of the substrate by powering either a top edge electrode or a bottom edge electrode. If the top edge electrode is powered, the bottom edge electrode is grounded. If the bottom edge electrode is powered, the top edge electrode is grounded.
  • the thin film at the bevel edge is removed by the bevel edge etching plasma.
  • the plasma etching chamber is configured to generate the bevel edge etching plasma that etches thin film at the bevel edge with edge exclusion zone less than about 2 mm from the edge of substrate. In one embodiment, the edge exclusion zone is less than about 1 mm from the edge of substrate. In another embodiment, the edge exclusion zone is less than about 0.5 mm from the edge of substrate.
  • exemplary processes discussed above are for TEOS SiO 2 etching.
  • the concept of the present invention can be for etching any types of films, such as other dielectric films, metal films, semiconductor films, and barrier films, at bevel edges.
  • Tuning gas, location of gas feed(s), gap distance, total gas flow, type of processing gas can all have an impact on the etching profiles at the bevel edge.

Abstract

The various embodiments provide apparatus and methods of removal of unwanted deposits near the bevel edge of substrates to improve process yield. The embodiments provide apparatus and methods with center and edge gas feeds as additional process knobs for selecting a most suitable bevel edge etching processes to push the edge exclusion zone further outward towards the edge of substrates. Further the embodiments provide apparatus and methods with tuning gas(es) to change the etching profile at the bevel edge and using a combination of center and edge gas feeds to flow process and tuning gases into the chamber. Both the usage of tuning gas and location of gas feed(s) affect the etching characteristics at bevel edge. Total gas flow, gap distance between the gas delivery plate and substrate surface, pressure, and types of process gas(es) are also found to affect bevel edge etching profiles.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is related to U.S. patent application Ser. No. 11/758,576 (Atty. Docket No. LAM2P589), filed on Jun. 5, 2007, entitled “Edge Electrodes with Variable Power,” U.S. patent application Ser. No. 11/758,584 (Atty. Docket No. LAM2P592), filed on Jun. 5, 2007, entitled “Edge Electrodes with Dielectric Covers,” U.S. patent application Ser. No. 11/440,561 (Atty. Docket No. LAM2P560), filed on May 24, 2006, entitled “Apparatus and Methods to Remove Films on Bevel Edge and Backside of Wafer,” U.S. patent application Ser. No. 11/355,458 (Atty. Docket No. LAM2P537), filed on Feb. 15, 2006, entitled “Plasma Processing Reactor with Multiple Capacitive and Inductive Power Sources,” and U.S. patent application Ser. No. 11/363,703 (Atty. Docket No. LAM2P538), filed on Feb. 27, 2006, entitled “Integrated Capacitive and Inductive Power Sources for a Plasma Etching Chamber.” The disclosure of each of the above-identified related applications is incorporated herein by reference.
  • FIELD OF INVENTION
  • The present invention relates in general to substrate manufacturing technologies and in particular to apparatus and methods for the removal of deposited films and/or etch byproducts from a bevel edge of a substrate.
  • BACKGROUND
  • In the processing of a substrate, e.g., a semiconductor substrate (or wafer) or a glass panel such as one used in flat panel display manufacturing, plasma is often employed. During substrate processing, the substrate (or wafer) is divided into a plurality of dies, or rectangular areas. Each of the plurality of dies will become an integrated circuit. The substrate is then processed in a series of steps in which materials are selectively removed (or etched) and deposited.
  • Typically, a substrate is coated with a thin film of hardened emulsion (such as a photoresist mask) prior to etching. Areas of the hardened emulsion are then selectively removed, causing parts of the underlying layer to become exposed. The substrate is then placed on a substrate support structure in a plasma processing chamber. An appropriate set of plasma gases is then introduced into the chamber and a plasma is generated to etch exposed areas of the substrate.
  • During an etch process, etch byproducts, for example polymers composed of Carbon (C), Oxygen (O), Nitrogen (N), Fluorine (F), etc., are often formed on the top and the bottom surfaces near a substrate edge (or bevel edge). Etch plasma density is normally lower near the edge of the substrate, which results in accumulation of polymer byproducts on the top and on the bottom surfaces of the substrate bevel edge.
  • Typically, there are no dies present near the edge of the substrate, for example between about 2 mm to about 15 mm from the substrate edge. However, as successive purposely deposited films and byproduct polymer layers are deposited on the top and bottom surfaces of the bevel edge as a result of several different deposition and etch processes, bonds that are normally strong and adhesive will eventually weaken during subsequent processing steps. The purposely deposited films and polymer layers formed near the bevel edge would then peel or flake off, often onto another substrate during substrate transport. For example, substrates are commonly moved in sets between plasma processing systems via substantially clean containers, often called cassettes. As a higher positioned substrate is repositioned in the container, particles (or flakes) of purposely deposited film and byproducts on the bevel edge may fall on a lower substrate where dies are present, potentially affecting device yield.
  • Dielectric films, such as SiN and SiO2, and metal films, such as Al and Cu, are examples of films that are purposely deposited on the substrates. These films can also be deposited on the bevel edge (including the top and bottom surfaces) and do not get removed during etching processes. Similar to etching byproducts, these films at bevel edge can accumulate and flake off during subsequent processing steps, thereby impacting device yield.
  • For advanced technologies, it is desirable to expand the usable areas on the substrate surface to the edge of wafer (or substrate). As mentioned above, there are typically no dies present near the edge of the substrate, for example between about 2 mm to about 15 mm from the substrate edge, which is also called the “edge exclusion zone.” Edge exclusion zone is a region, such as between about 2 mm to about 15 mm from the substrate edge, at the edge of the substrate that is not usable and does not have dies. For advanced technologies, the target is to have usable area expended to less than about 2 mm from the edge of the substrate to increase usable area on the substrate. Therefore, the edge exclusion zone is targeted to be less than 2 mm.
  • In view of the foregoing, there is a need for apparatus and methods that remove unwanted deposits on the bevel edge of substrates to reduce edge exclusion zone to be less than 2 mm from the edge of substrates. Such apparatus and methods would expand usable area and improve process yield on the substrate.
  • SUMMARY
  • The various embodiments provide apparatus and methods of removal of unwanted deposits near the bevel edge of substrates to improve process yield. The embodiments provide apparatus and methods with center and edge gas feeds as additional process knobs for selecting a most suitable bevel edge etching processes to push the edge exclusion zone further outward towards the edge of substrates. Further the embodiments provide apparatus and methods with tuning gas(es) to change the etching profile at the bevel edge and using a combination of center and edge gas feeds to flow process and tuning gases into the chamber. Both the usage of tuning gas and location of gas feed(s) affect the etching characteristics at bevel edge. Total gas flow, gap distance between the gas delivery plate and substrate surface, pressure, and types of process gas(es) are also found to affect bevel edge etching profiles.
  • It should be appreciated that the present invention can be implemented in numerous ways, including as a process, an apparatus, or a system. Several inventive embodiments of the present invention are described below.
  • In one embodiment, a plasma etching processing chamber configured to etch a thin film on a bevel edge of a substrate is provided. The plasma etching processing chamber includes a bottom edge electrode surrounding a substrate support in the plasma processing chamber. The substrate support is configured to receive the substrate and the bottom edge electrode and the substrate support are electrically isolated from each other by a bottom dielectric ring. The plasma etching processing chamber also includes a top edge electrode surrounding a gas distribution plate opposing the substrate support. The top edge electrode and the gas delivery plate are electrically isolated from each other by a top dielectric ring, and the top edge electrode and the bottom edge electrode are configured to generate an etching plasma near the bevel edge to remove the thin film on the bevel edge of the substrate. The distance between the top edge electrode and the bottom edge electrode is less than about 1.5 cm to confine the treatment plasma.
  • Further, the plasma etching processing chamber includes a center gas feed embedded in the gas delivery plate. The center gas feed is configured to delivery either an etching process gas or a tuning gas into the plasma processing chamber through the center gas feed. In addition, the plasma etching processing chamber includes a center gas selection controller coupled to a center gas manifold. The center gas manifold is coupled to a plurality of etching processing and turning gases. The center gas selection controller is coupled to the center gas feed and selects the etching process gas or the tuning gas delivered into the plasma processing chamber. Additionally, the plasma etching processing chamber includes an edge gas feed configured to deliver either the etching process gas or the tuning gas toward the bevel edge of the substrate, wherein the edge gas feed is disposed above the substrate. Further, the plasma etching processing chamber includes an edge gas selection controller coupled to an edge gas manifold, the edge gas manifold is coupled to the plurality of etching processing and turning gases. The edge gas selection controller is coupled to edge gas feed and selects the etching process gas or the tuning gas delivered into the plasma processing chamber through the edge gas feed.
  • In another embodiment, a method of etching a thin film on a bevel edge of a substrate in a plasma etching chamber is provided. The method includes placing the substrate on a substrate support in the plasma etching chamber. The method also includes flowing an etching process gas through a center gas feed located or an edge gas feed. The center gas feed and the edge gas feed are disposed above the substrate support. The method further includes flowing a tuning process gas through the center gas feed located or the edge gas feed. The tuning gas is used to change the etching plasma characteristics at the bevel edge.
  • In addition, the method includes generating an etching plasma near the bevel edge of the substrate to etch the thin film on the bevel edge by powering a bottom edge electrode or a top edge electrode with a RF power source and grounding the edge electrode that is not powered by the RF power source. The bottom edge electrode surrounds the substrate support and the top edge electrode surrounds the gas distribution plate, wherein the distance between the top edge electrode and the bottom edge electrode is less than about 1.5 cm to confine the treatment plasma. Additionally, the method includes etching the thin film by the generated etching plasma.
  • Other aspects and advantages of the invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, illustrating by way of example the principles of the invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will be readily understood by the following detailed description in conjunction with the accompanying drawings, and like reference numerals designate like structural elements.
  • FIG. 1A shows a cross-sectional view of a thin film near a bevel etch, in accordance with one embodiment of the present invention
  • FIG. 1B shows a cross-sectional view of a thin film with the film on the bevel edge being removed, in accordance with one embodiment of the present invention.
  • FIG. 1C shows four different bevel edge etching profiles, in accordance with one embodiment of the present invention.
  • FIG. 2 shows a cross-sectional view of a plasma system configured to generate a bevel edge etching plasma, in accordance with one embodiment of the present invention.
  • FIG. 2A shows a cross-sectional view of center feeds, in accordance with one embodiment of the present invention.
  • FIG. 2B shows a cross-sectional view of a center feed with multiple gas sources, in accordance with one embodiment of the present invention.
  • FIG. 2C shows a cross-sectional view of edge feeds, in accordance with one embodiment of the present invention.
  • FIG. 2D shows a cross-sectional view of an edge feed with multiple gas sources, in accordance with one embodiment of the present invention.
  • FIG. 2E shows a cross-sectional view of an enlarged region M with bevel edge of FIG. 2, in accordance with one embodiment of the present invention.
  • FIG. 2F shows a cross-sectional view of a plasma system configured to generate a bevel edge etching plasma, in accordance with another embodiment of the present invention.
  • FIG. 2G shows a top view of a top chamber assembly of the plasma system of FIG. 2, in accordance with an embodiment of the present invention.
  • FIG. 2H shows an enlarged diagram of a region around a center gas feed, in accordance with an embodiment of the present invention.
  • FIG. 2I shows an enlarged diagram of a region around an edge gas feed, in accordance with an embodiment of the present invention.
  • FIG. 2J shows a top view of a top chamber assembly of the plasma system of FIG. 2, in accordance with another embodiment of the present invention.
  • FIG. 3A shows bevel etching profiles of 4 different etching processes, in accordance with one embodiment of the present invention.
  • FIG. 3D shows bevel etching profiles of 4 different etching processes, in accordance with another embodiment of the present invention.
  • FIG. 3C shows bevel etching profiles of 3 different etching processes, in accordance with one embodiment of the present invention.
  • FIG. 3D shows bevel etching profiles of 4 different etching processes, in accordance with another embodiment of the present invention.
  • FIG. 4 shows a process flow of generating a bevel edge etching plasma, in accordance with one embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE EXEMPLARY EMBODIMENTS
  • Several exemplary embodiments for improved mechanisms to remove undesirable deposits on the bevel edges of wafers to improve process yield are provided. It will be apparent to those skilled in the art that the present invention may be practiced without some or all of the specific details set forth herein.
  • FIG. 11A shows a cross-sectional view of a substrate 105 that has a substrate body 100 with a front side 110, a backside 120 and an edge 130 between the front and backsides, in accordance with one embodiment of the present invention. Substrate body 100 could be a wafer without other films and features. Substrate body 100 could also have various films and features from prior processing. In FIG. 1A, there is a thin film layer 101 covering the substrate front side 110 and substrate edge 130. The thin film layer 101 could be a dielectric layer, such as silicon dioxide (SiO2), or silicon nitride (SiN), a metal layer, such as tantalum (Ta), tantalum nitride (TaN), cupper (Cu), or Aluminum (Al). The thin film layer 101 can be a layer of photoresist or etching byproducts. Further, the thin film layer 101 could also be a dielectric layer or a metal layer mixed with photoresist and/or etching byproducts. The thickness of the thin film 101 can range from a few angstroms to a few microns.
  • The thin film layer 101 extends to a distance Y from the substrate edge 130 of the substrate 105. In one embodiment, the distance Y extends all the way to the center of backside surface 120 of substrate body 100. In another embodiment, the distance Y is between about 2 mm to about 15 mm from the edge 130. The thin film layer 101 on the bevel edge needs to be removed to prevent accumulation of thin film that results in possible flaking during future substrate handling and other substrate processing. As described above, for advanced technologies, the trend is to extend the usable area to the edge of substrate. Distance “X” is the distance from the edge 130 that thin film layer 101 should be removed. For advanced technologies, distance “X” is less than about 2 mm, preferable less than about 1 mm, and more preferably less than about 0.5 mm. The surface area beyond distance X (towards the center of substrate) is considered usable area for constructing devices.
  • FIG. 1B shows that after a bevel edge etching process, the film on the bevel edge is removed. The thin film layer 101 on the front side is removed to distance “X” from the edge 130. As mentioned above, the substrate surface 110 with thin film layer 101 not removed during substrate etching in FIG. 1B is considered usable area.
  • FIG. 1C shows etch rates (ER) near the bevel edge for bevel edge plasma etching processes. Curves 150, 152, 154, and 156 show three different etch rate curves near bevel edge. Curve 150 shows results of a conventional process that produces broader bevel etch profile near substrate edge. The etch rate is non-zero at a distance greater than 2 mm from the edge, such as edge 130 of FIG. 1A. Curve 152 shows results of a process that produces a narrower bevel etch profile than curve 150. The etch rate on the substrate surface is zero until about 2 mm from the edge. Curves 154 and 156 are even narrower than curve 152. Etch rate is non-zero from edge to about 1 mm from substrate edge for curve 154 and to about 0.5 mm for curve 156. For process technologies that require edge exclusion less than about 2 mm, even to 1 mm or 0.5 mm, processes that can produce etch curves, such as curve 152, curve 154 and curve 156, can be used. For the purpose of reducing edge exclusion zone to less than about 2 mm from the edge of substrate, processes that produce curves 152, 154, and 156 are better than process that produces curve 150.
  • FIG. 2 shows an embodiment of a bevel edge plasma processing chamber 200 for performing plasma etching near the bevel edge of the substrate. Chamber 200 has a substrate support 240 with a substrate 250 on top. In one embodiment, the substrate support 240 is an electrostatic chuck, which is powered by a RF (radio frequency) power source (not shown). In another embodiment, the substrate support 240 is a regular electrode. The substrate support 240 can be DC (direct current) or RF biased. Opposing the substrate support 230 is a gas plate 260 with a center gas feed 261. The feed point 264 of the center gas feed 261 is near above the center of the substrate. The center gas feed 261 is embedded in the gas plate 260 and located near the center of substrate 250. In one embodiment, there are a number of center gas feeds, such as gas feeds 261′, 261″, and 261″, which are coupled to different gas sources, such as gas sources 271″ (for gas X), 271″ (for gas Y), and 271″ (for gas Z), as shown in FIG. 2A. In another embodiment, different gas sources feed into a single center gas feed 261, as shown in FIG. 2B. The process chamber is also equipped with edge gas feeds 263, which are located near the bevel edge of substrate 250. In one embodiment, there are a number of edge gas feeds, such as gas feeds 263′, 263″, and 263″, at the proximity of a location, which are coupled to different gas sources, such as gas sources 273″ (for gas M), 273″ (for gas N), and 273″ (for gas O), as shown in FIG. 2C. In another embodiment, different gas sources feed into a single edge gas feed at 263 a particular edge location, as shown in FIG. 2D. More details of the edge gas feeds 263 will be provided below.
  • The substrate support can also be RF powered, biased, or grounded. During etching of substrate 250, chamber 200 can be RF powered to generate capacitively coupled etch plasma or inductively coupled etch plasma. The substrate 250 has a bevel edge 217 that includes a top and a bottom surface of the edge of the substrate, as shown in region F of FIG. 2 and enlarged region M in FIG. 2E. In FIG. 2E, bevel edge 217 is highlighted as a bold solid line and curve.
  • FIG. 2F shows an embodiment of a bevel edge etching process chamber 250. The process chamber 250 has a center feed 261 P for process gas, and a center feed 261 T for tuning gas. Both center gas feeds 261 P, 261 T are coupled to a center gas select 275 C, which is coupled to a center gas manifold 276 C. The center gas manifold 276 C is coupled to a number of gas tanks that supplied various process gases and tuning gas(es) (not shown). Alternatively, there could be more than one center gas feeds 261P for process gases and more one center gas feeds 261T for tuning gases, as described above in FIGS. 2A and 2C. The process chamber 250 also has a number of edge feeds 263 P for process gas, and a number of edge feeds 263 T for tuning gas. All edge gas feeds 261 P, 261 T are coupled to an edge gas select 275 E, which is coupled to an edge gas manifold 276 E. The center gas manifold 276 E is coupled to a number of gas tanks that supplied various process gases and tuning gas(es) (not shown). The center gas select 275 C receives instructions from a chamber process controller 277 and chooses whether and which gas(es) goes into the center gas feeds, 261 P, 261 T. Similarly, the edge gas select 275 E receives instructions from a chamber process controller 277 and chooses whether and which gas(es) goes into the edge gas feeds, 263 P, 263 T. The chamber process controller 277 is also coupled to other parts of process chamber 250 to controller other process parameters, such as temperature, pressure and movement of the substrate support 240. In one embodiment, the chamber process controller 277 is coupled to a processor 278, which is coupled to a key board 280 and a monitor 279. Operators of the processing system 250 can enter instruction through the keyboard 280 and the instruction and process condition can be displayed in the monitor 279.
  • FIG. 2G shows an embodiment of a top view of the chamber top assembly 280 of FIG. 2. The top assembly 280 includes the chamber top wall 285 (not shown in FIG. 2G) and a gas delivery plate 260, a top dielectric ring 211, a top edge electrode, and a top insulating ring 215. The gas delivery plate 260, the top dielectric ring 211, the top edge electrode, and the top insulating ring 215 are coupled to the top chamber wall 285. The center gas feed 281 is embedded in the gas delivery plate 260. In the embodiment shown in FIG. 2G, there are 8 locations of edge gas feeds 263, which are disposed between the top dielectric ring 211 and the top edge electrode 210. The 8 locations are evenly distributed around the diameters of the top dielectric ring 211. The 8 locations are merely used as examples. Other number of locations, such as 4-56 locations, can be used too.
  • FIG. 2H shows an embodiment of an enlarged diagram of a region 281 around the center gas feed 261 of FIG. 2G. The embodiment shown in FIG. 2H illustrates that there could be more than one center gas feeds. Any reasonable and needed number of center gas feeds is allowed. FIG. 2I shows an embodiment of an enlarged diagram of a region 283 around the edge gas feed 263 of FIG. 2G. The embodiment shown in FIG. 2I illustrates that there could be more than one edge gas feeds at each edge location. Any reasonable and needed number of edge gas feeds at each edge location is allowed.
  • FIG. 2 J shows another embodiment of edge gas feed 263 of FIG. 2. In this embodiment, edge gas feed 263′ is a gas ring between the top dielectric ring 211 and the top edge electrode 210. Process gas(es) and/or tuning gas(es) can be delivered evenly to the process chamber through the gas ring 263′.
  • Surrounding the edge of substrate support 240, there is a bottom edge electrode 220, made of conductive materials, such as aluminum (Al). Between the substrate support 240 and the bottom edge electrode 220, there is a bottom dielectric ring 221 electrically separating the substrate support 240 and the bottom edge electrode 220. In one embodiment, substrate 250 is not in contact with the bottom edge electrode 220. Beyond the bottom edge electrode 220, there is another bottom insulating ring 225, which extends the surface of the bottom edge electrode 220 facing substrate 250.
  • Surrounding the gas plate 260, there is a top edge electrode 210, made of conductive materials, such as aluminum (Al). The top edge electrode 210 is electrically insulated from the gas plate 260 by a top dielectric ring 211. As mentioned above, the edge gas feed(s) 263 provides process gas(s) to the bevel edge 217 of substrate 250. In one embodiment, the edge gas feeds 263 provide process gas(s) to feeding points 262 facing the bevel edge 217 of substrate 260 and are between the top edge electrode 210 and the top dielectric ring 211. Beyond the top edge electrode 210, there is top insulating ring 215, which extends the surface of the top edge electrode 210 facing substrate 250.
  • In one embodiment, the bottom edge electrode 220 is coupled to an RF power source 223 and the top edge electrode 210 is grounded. During a substrate bevel edge treatment process, the RF power source 223 supplies RF power at a frequency between about 2 MHz to about 60 MHz and a power between about 100 watts to about 2000 watts to generate a treatment plasma. During bevel edge treatment the substrate support 240 and the gas delivery plate 260 are kept electrically floating. In another embodiment, the bottom electrode 240 is coupled to an RF power source 224. During a substrate bevel edge treatment process, the RF power source 224 supplies RF power at a frequency between about 2 MHz to about 60 MHz and a power between about 100 watts to about 2000 watts to generate a treatment plasma. During bevel edge treatment the gas delivery plate 3=260 is kept electrically floating, and both the bottom edge electrode 220 and the top edge electrode 210 are grounded.
  • The two embodiments of hardware configurations described above are merely examples, other configurations of bevel edge reactors can also be used. For details of other types of bevel edge reactors, see U.S. patent application Ser. No. 11/758,576 (Atty. Docket No. LAM2P589), filed on Jun. 5, 2007, entitled “Edge Electrodes with Variable Power,” U.S. patent application Ser. No. 11/758,584 (Atty. Docket No. LAM2P592), filed on Jun. 5, 2007, entitled “Edge Electrodes with Dielectric Covers,” U.S. patent application Ser. No. 11/440,561 (Atty. Docket No. LAM2P560), filed on May 24, 2006, entitled “Apparatus and Methods to Remove Films on Bevel Edge and Backside of Wafer,” U.S. patent application Ser. No. 11/355,458 (Atty. Docket No. LAM2P537), filed on Feb. 15, 2006, entitled “Plasma Processing Reactor with Multiple Capacitive and Inductive Power Sources,” and U.S. patent application Ser. No. 11/363,703 (Atty. Docket No. LAM2P538), filed on Feb. 27, 2006, entitled “Integrated Capacitive and Inductive Power Sources for a Plasma Etching Chamber.” The disclosure of each of the above-identified related applications is incorporated herein by reference.
  • In one embodiment, the space between the top edge electrode 210 and the bottom edge electrode 220, DEE, is less than 1.5 cm to ensure the plasma is confined. A DEE of less than 1.5 cm allows the ratio between the width (DW) and gap (DEE) of the opening near substrate edge to be less than 4:1, which ensures plasma confinement. DW is the width of the opening near the substrate edge. In one embodiment, DW is the width of the bottom insulating ring 225 or the width of the top insulating ring 215. The chamber pressure is kept between about 20 mTorr to about 100 Torr, and preferably between about 100 mTorr to about 2 Torr, during the bevel edge etching process. The spacing between the gas distribution plate 260 and substrate 250, DS, is less than 0.6 mm to ensure no plasma is formed between the top electrode 260 and the substrate 250 during the bevel edge etching process.
  • The embodiment of plasma chamber 200 shown in FIG. 2 is merely an example. Other embodiments of plasma chamber for bevel edge etching are also possible. In another embodiment, the RF power supply can be coupled to the top edge electrode 210, while the bottom edge electrode 220 is grounded to generate the capacitively coupled etching plasma. Alternatively, either the top edge electrode 210 or the bottom edge electrode 220 can be replaced with an inductive coil buried in a dielectric material. In this embodiment, the inductive coil is coupled to a RF power source and the opposing edge electrode is grounded. The RF power source supplies power to generate an inductively coupled etching plasma to treat the bevel edge 217. For further description of the bevel edge plasma etching chamber see U.S. patent application Ser. No. 11/3440,561) (Atty. Docket No. LAM2P560), filed on May 24, 2006, entitled “Apparatus and Methods to Remove Films on the Bevel Edge and Backside of Wafer.” The disclosure of the above-identified related applications is incorporated herein by reference.
  • Various experiments have been conducted to study the effects of location of gas feed(s), total gas flow, tuning gas type, tuning gas flow, the gap distance between the gas plate 260 and substrate 250 on the etch rate profiles at the bevel edge. An exemplary reference process for etching dielectric film is used for these studies. The process (etching) gases include NF3 and CO2. The film etched is silicon oxide film (SiO2) deposited from tetra-ethyl-ortho-silicate (TEOS). The tuning gas, which is not a reactive gas, used in the study includes nitrogen (N2), argon (Ar), and helium (He). However, in addition to the above-mentioned tuning gas, other types of non-reactive gas, such as other inert gases, can also be used as tuning gas.
  • The exemplary reference process with 10 sccm NF3 and 200 CO2 fed from the center gas feed 261 similar to the center gas feed shown in FIG. 2. The pressure is about 1500 mTorr. The gap distance between the gas delivery plate 260 and surface of substrate 250 is about 0.4 mm.
  • FIG. 3A shows a plot of normalized etch rates on different locations on the substrate surface near bevel edge. The normalized etch rates are plotted with the distance from the center of the substrate. The etch rates are normalized to the etch rate at 149.4 mm from the center of the substrate. The substrate has a diameter of 300 mm and a radius of 150 mm. There are four curves in FIG. 3A. Curve 301 shows the results of the reference process with 10 sccm NF3 and 200 sccm CO2 fed from center gas feed(s). Data for curve 302 are generated using a process similar to the process of curve 301, but with the CO2 gas flow increased from 200 sccm to 500 sccm. Comparing curves 301 and 302, the results show that increasing the CO2 gas flow pushes the etch rate curve toward the bevel edge. 500 sccm CO2 gas extends the area with zero etch rate to about 2.5 mm from the edge of substrate. In contrast, when the CO2 gas is at 200 sccm, the etch rate is not zero even when the distance is at about 2.5 mm from the edge of substrate.
  • Curve 303 shows etching results of a process with 10 sccm NF3 and 200 sccm CO2 fed from center process gas feed, and with an additional 300 sccm N2 tuning gas (non-reactive gas) fed from the center gas feed. Curve 304 shows etching results of a process with 10 sccm NF3 and 200 sccm CO2 fed from center process gas feed, and with an additional 500 sccm N2 tuning gas (non-reactive gas) fed from the center gas feed.
  • The results show that both the 300 sccm N2 tuning gas feed and 500 sccm N2 tuning gas from the center gas feed help to push the bevel edge etching rate profile further out towards the substrate edge, in comparison to the standard process of curve 301. However, none of the processes of curves 301, 302, 303, and 304 generate a bevel edge etching profile that has zero etch rate at about 2 mm (or at 148 mm location in the FIG. 3A plot) from the edge of substrate.
  • FIG. 3B shows a plot of normalized etch rates of 4 different processes on the substrate surface. Curve 305 shows the reference process with 10 sccm NF3 and 200 CO2 fed from the center gas feed 261. Curve 305 is identical to curve 301 of FIG. 3A. Data for curve 306 are generated using a process similar to the process of curve 305, with the exception that both the NF3 gas and CO2 gas are fed from edge gas feed(s), such as edge gas feed 263. Comparing curves 305 and 306, the results show that feeding process gases NF3 and CO2 from edge gas feed(s) pushes the etch rate curve toward the bevel edge. Processing gas fed from edge gas feeds extends the area with zero etch rate to about 2 mm from the edge of substrate. In contrast, when the processing gas is fed from the center gas feed, the etch rate is not zero even when the distance is 3 mm from the edge of substrate.
  • Curve 307 uses a process similar with curve 305 (reference process) with process gases fed from center gas feed(s), and with an additional 500 sccm N2 tuning gas (non-reactive gas) fed from center gas feed. Curve 308 a process similar with curve 306, with process gases fed from edge gas feed(s), and with a 500 sccm N2 tuning gas (non-reactive gas) fed from center gas feed. The results show that the 500 sccm N2 tuning gas feed from the center gas feed help to push the edge of zero etch rate from 2 mm of curve 306 (process gases fed from edge) to 1.8 mm of curve 308 (process gases fed from edge). As shown in FIG. 3B, the 500 sccm N2 tuning gas feed from the center gas feed helps to push the edge of zero etch rate from greater than 3 mm for curve 305 (process gases fed from center) to 2.6 mm for curve 307 (process gases fed from center). The results favor feeding process gases from the edge, in comparison to feeding process gases from the center. In addition, the results also show that 500 sccm of N2 turning gas from center gas feed(s) also can push the boundary of zero etch rate further towards the edge of substrate. Both processes with process gases fed from substrate edge (curves 306 and 308), either with 500 N2 tuning gas (curve 308) or without N2 tuning gas (curve 306), generate bevel edge etching profiles that have zero etch rate at about 2 mm or less than 2 mm from the edge of substrate. Feeding process gases near the bevel edge is crucial in pushing the boundary to zero etch rate to 2 mm from the edge of substrate.
  • Experiments with varying amount of N2 tuning gas, 300 sccm, 500 sccm, and 750 sccm, fed from center gas feed(s) show that etch profile at bevel edge for N2 tuning gas at 500 sccm is slightly better than results for 300 sccm and 750 sccm N2 tuning gas in terms of pushing the etch profile outward toward the edge. However, the results for 300 sccm and 750 sccm N2 tuning gas processes are not too different from those of 500 sccm N2 tuning gas process.
  • Experiments with higher CO2 flow (300 sccm vs. 200 sccm) fed from center feed shows that increased CO2 flow helps push the etch rate profile outward towards the edge of substrate.
  • In addition, comparing the results of the reference process to a process with 20 sccm NF3 and 400 sccm CO2 (2× total flow) fed from center gas feed shows that increased total flow help to push the etch rate profile outward towards the edge of the substrate. For the 2× total flow process, the edge of zero etch rate is at about 2.2 mm from the edge of substrate. In contrast, the edge of zero etch rate for the reference process is more than 3 mm from the edge of substrate.
  • FIG. 3C compares the results of 3 different processes. Curve 309 is generated using the process with 10 sccm NF3 and 200 sccm CO2 at the substrate edge, and with an additional 750 sccm N2 tuning gas fed from center. The process is run at normal gap space of 0.4 mm. The results of curve 309 is very close to curve 308 of FIG. 3B. As mentioned above, the results of using 750 sccm N2 tuning gas and 500 sccm N2 gas at center feed(s) are quite close. Curve 310 uses the same process as curve 309, with the exception of using a gap space of 0.35 mm between gas delivery plate and the substrate. Curve 311 uses the same process as curve 309, with the exception of using a gap space of 0.45 mm between gas delivery plate and the substrate. The results show that a gap space of 0.4 mm yields the best results.
  • FIG. 3D compares the results of 4 different processes. Curve 312 is generated using a process with 10 sccm NF3 and 200 seem CO2 fed at the substrate edge. Curve 313 is generated using the same process as curve 309, but with an additional 500 sccm N2 tuning gas fed at the center gas feed. The results show similar conclusion, as the previously mentioned, that adding 500 sccm N2 tuning gas helps push the edge of zero etch rate further outward (comparing curves 306 and 308 of FIG. 3B). Curve 314 uses the same process as curve 313, but with a different tuning gas Ar at the same flow rate of 500 sccm. The effect of adding 500 sccm Ar tuning gas is worse than adding 500 sccm N2 tuning gas (curve 313) and is even worse than not adding any tuning gas at all (curve 312). Curve 315 uses a process with 10 sccm NF3 and 200 sccm CO2 at the substrate edge (similar to curves 312, 313, and 314), but the tuning gas fed at center gas feed is a combination of 200 sccm N2 with 500 sccm helium (He). The results show that the combination of 200 sccm N2 with 500 sccm He yields best results.
  • The results above show that there having center and edge gas feeds provide additional process knobs to use for selecting a most suitable bevel edge etching processes. In addition, adding a tuning gas, such as N2, Ar, or He, or a mixture of multiple tuning gases can change the etching profile at the bevel edge of substrate. Further total gas flow and gap distance between the gas delivery plate and substrate surface can also affects etching profiles. In addition, as shown in the results and description above, process gas type can have an impact on the etching profiles and interacts with the tuning gas. The various factors mentioned above either change the plasma composition, or changes characteristics at the bevel edge. The changes affect the bevel edge etching profiles.
  • FIG. 4 shows an exemplary process flow 400 of generating a bevel edge etching plasma by feeding process gas from edge gas feed(s) and feeding a tuning gas from center gas feed(s) to a process chamber. At step 401, a substrate is place on a substrate support in a bevel edge etch plasma chamber. At step 402, process gas(es) is fed to either an edge gas feed(s) or a center gas feed(s) in the processing chamber. At an optional process step 403, a tuning gas(es) is fed to either an edge gas feed(s) or a center gas feed(s) in the processing chamber. At step 404, an etching plasma is generated near the bevel edge of the substrate by powering either a top edge electrode or a bottom edge electrode. If the top edge electrode is powered, the bottom edge electrode is grounded. If the bottom edge electrode is powered, the top edge electrode is grounded. At step 405, the thin film at the bevel edge is removed by the bevel edge etching plasma. The plasma etching chamber is configured to generate the bevel edge etching plasma that etches thin film at the bevel edge with edge exclusion zone less than about 2 mm from the edge of substrate. In one embodiment, the edge exclusion zone is less than about 1 mm from the edge of substrate. In another embodiment, the edge exclusion zone is less than about 0.5 mm from the edge of substrate.
  • The exemplary processes discussed above are for TEOS SiO2 etching. However, the concept of the present invention can be for etching any types of films, such as other dielectric films, metal films, semiconductor films, and barrier films, at bevel edges. Tuning gas, location of gas feed(s), gap distance, total gas flow, type of processing gas can all have an impact on the etching profiles at the bevel edge.
  • Although the foregoing invention has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein, but may be modified within the scope and equivalents of the appended claims.

Claims (22)

1. A plasma etching processing chamber configured to etch a thin film on a bevel edge of a substrate, comprising:
a bottom edge electrode surrounding a substrate support in the plasma processing chamber, wherein the substrate support is configured to receive the substrate and the bottom edge electrode and the substrate support are electrically isolated from each other by a bottom dielectric ring;
a top edge electrode surrounding a gas distribution plate opposing the substrate support, wherein the top edge electrode and the gas delivery plate are electrically isolated from each other by a top dielectric ring, and the top edge electrode and the bottom edge electrode are configured to generate an etching plasma near the bevel edge to remove the thin film on the bevel edge of the substrate, wherein the distance between the top edge electrode and the bottom edge electrode is less than about 1.5 cm to confine the treatment plasma;
a center gas feed embedded in the gas delivery plate, wherein the center gas feed is configured to delivery either an etching process gas or a tuning gas into the plasma processing chamber through the center gas feed;
a center gas selection controller coupled to a center gas manifold, wherein the center gas manifold is coupled to a plurality of etching processing and turning gases, and wherein the center gas selection controller is coupled to the center gas feed and selects the etching process gas or the tuning gas delivered into the plasma processing chamber;
an edge gas feed configured to deliver either the etching process gas or the tuning gas toward the bevel edge of the substrate, wherein the edge gas feed is disposed above the substrate; and
an edge gas selection controller coupled to an edge gas manifold, wherein the edge gas manifold is coupled to the plurality of etching processing and turning gases, and wherein the edge gas selection controller is coupled to edge gas feed and selects the etching process gas or the tuning gas delivered into the plasma processing chamber through the edge gas feed.
2. The plasma etching processing chamber of claim 1, further comprising:
a top insulating ring surrounding and coupled to the top edge electrode, wherein the surface of the top insulating ring that faces the substrate aligns with the surface of the top edge electrode that faces the substrate; and
a bottom insulating ring surrounding and coupled to the bottom edge electrode, wherein the surface of the bottom insulating ring that faces the top insulating ring aligns with the surface of the bottom edge electrode that faces the top edge electrode,
3. The plasma etching processing chamber of claim 2, wherein the top insulating ring and the bottom insulating ring confine the cleaning plasma generated by the top edge electrode and the bottom edge electrode.
4. The plasma etching processing chamber of claim 1, wherein the etching process gas is fed from the edge gas feed and the tuning gas is fed from the center gas feed.
5. The plasma etching processing chamber of claim 1, wherein there are more than one center gas feeds and more than one edge gas feeds.
6. The plasma etching processing chamber of claim 1, wherein both the center gas manifold and the edge gas manifold are coupled to a plurality of processing gases.
7. The plasma etching processing chamber of claim 2, wherein the edge gas feed is located between the top dielectric ring and the top edge electrode.
8. The plasma etching processing chamber of claim 1, wherein the thin film on the bevel edge being etched is selected from a group consisting of a dielectric film, a metal film, a photoresist film, a semiconductor film, and a combination of the these films.
9. The plasma etching processing chamber of claim 1, wherein thin film is a dielectric film, and the etching process gas includes an oxygen-containing gas, and a fluorine-containing gas.
10. The plasma etching processing chamber of claim 1, wherein the tuning gas includes nitrogen or an inert gas.
11. The plasma etching processing chamber of claim 1, wherein the top edge electrode is coupled to a RF power source to supply power and the bottom edge electrode is grounded, or the bottom edge electrode is coupled to a RF power source to supply power and the top edge electrode is grounded to generate the treatment plasma
12. The plasma etching processing chamber of claim 1, wherein the distance between the gas delivery plate and the surface of the substrate facing the gas delivery plate is less than about 0.6 mm.
13. The plasma etching processing chamber of claim 2, wherein a ratio of a width of the bottom insulating ring to the distance between the top edge electrode and the bottom edge electrode is less than about 4:1.
14. The plasma etching processing chamber of claim 1, wherein the etching plasma near the bevel edge has zero etching at greater than about 1 mm from an edge of the substrate.
15. The plasma etching processing chamber of claim 7, wherein there are a plurality of edge gas feeds evenly distributed around the outer diameter of the top dielectric ring.
16. A method of etching a thin film on a bevel edge of a substrate in a plasma etching chamber, comprising:
placing the substrate on a substrate support in the plasma etching chamber;
flowing an etching process gas through a center gas feed located or an edge gas feed, wherein the center gas feed and the edge gas feed are disposed above the substrate support;
flowing a tuning process gas through the center gas feed located or the edge gas feed, wherein the tuning gas is used to change the etching plasma characteristics at the bevel edge;
generating an etching plasma near the bevel edge of the substrate to etch the thin film on the bevel edge by powering a bottom edge electrode or a top edge electrode with a RF power source and grounding the edge electrode that is not powered by the OF power source, wherein the bottom edge electrode surrounds the substrate support and the top edge electrode surrounds the gas distribution plate, wherein the distance between the top edge electrode and the bottom edge electrode is less than about 1.5 cm to confine the treatment plasma; and
etching the thin film by the generated etching plasma.
17. The plasma method of claim 16, wherein the thin film on the bevel edge being etched is selected from a group consisting of a dielectric film, a metal film, a photoresist film, a semiconductor film, and a combination of the these films.
18. The etching of claim 16, wherein thin film is a dielectric film, and the etching process gas includes an oxygen-containing gas, and a fluorine-containing gas.
19. The method of claim 16, wherein the tuning gas includes nitrogen or an inert gas.
20. The method of claim 16, wherein the distance between the gas delivery plate and the surface of the substrate facing the gas delivery plate is less than about 0.6 mm to prevent plasma being formed between the gas delivery plate and the substrate.
21. The method of claim 16, wherein the etching plasma near the bevel edge has zero etching at greater than about 2 mm from an edge of the substrate.
22. The method of claim 16, wherein the etching process gas is fed from the edge gas feed and the tuning gas is fed from the center gas feed.
US12/021,177 2005-09-27 2008-01-28 Gas modulation to control edge exclusion in a bevel edge etching plasma chamber Active 2028-04-15 US8083890B2 (en)

Priority Applications (14)

Application Number Priority Date Filing Date Title
US12/021,177 US8083890B2 (en) 2005-09-27 2008-01-28 Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
KR1020107016850A KR20100123823A (en) 2008-01-28 2009-01-16 Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
JP2010544321A JP5502756B2 (en) 2008-01-28 2009-01-16 Plasma etching process chamber for performing bevel edge etching and etching method thereof
SG10201605996VA SG10201605996VA (en) 2008-01-28 2009-01-16 Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
CN2009801038766A CN101925985B (en) 2008-01-28 2009-01-16 Gas modulation to control edge exclusion in bevel edge etching plasma chamber
SG2013005087A SG187509A1 (en) 2008-01-28 2009-01-16 Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
KR1020167005368A KR20160028519A (en) 2008-01-28 2009-01-16 Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
PCT/US2009/000372 WO2009097089A2 (en) 2008-01-28 2009-01-16 Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
KR1020167034233A KR20160145200A (en) 2008-01-28 2009-01-16 Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
CN201310482301.8A CN103489744A (en) 2008-01-28 2009-01-16 Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
EP09705169A EP2240957A4 (en) 2008-01-28 2009-01-16 Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
TW098102851A TWI427725B (en) 2008-01-28 2009-01-23 Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
US13/300,483 US8349202B2 (en) 2005-09-27 2011-11-18 Methods for controlling bevel edge etching in a plasma chamber
JP2013242935A JP2014060440A (en) 2008-01-28 2013-11-25 Gas modulation to control edge exclusion in bevel edge etching plasma chamber

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/237,327 US20070068623A1 (en) 2005-09-27 2005-09-27 Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US11/440,561 US7909960B2 (en) 2005-09-27 2006-05-24 Apparatus and methods to remove films on bevel edge and backside of wafer
US12/021,177 US8083890B2 (en) 2005-09-27 2008-01-28 Gas modulation to control edge exclusion in a bevel edge etching plasma chamber

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/440,561 Continuation-In-Part US7909960B2 (en) 2005-09-27 2006-05-24 Apparatus and methods to remove films on bevel edge and backside of wafer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/300,483 Division US8349202B2 (en) 2005-09-27 2011-11-18 Methods for controlling bevel edge etching in a plasma chamber

Publications (3)

Publication Number Publication Date
US20090188627A1 true US20090188627A1 (en) 2009-07-30
US20110253312A9 US20110253312A9 (en) 2011-10-20
US8083890B2 US8083890B2 (en) 2011-12-27

Family

ID=40898027

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/021,177 Active 2028-04-15 US8083890B2 (en) 2005-09-27 2008-01-28 Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
US13/300,483 Active US8349202B2 (en) 2005-09-27 2011-11-18 Methods for controlling bevel edge etching in a plasma chamber

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/300,483 Active US8349202B2 (en) 2005-09-27 2011-11-18 Methods for controlling bevel edge etching in a plasma chamber

Country Status (8)

Country Link
US (2) US8083890B2 (en)
EP (1) EP2240957A4 (en)
JP (2) JP5502756B2 (en)
KR (3) KR20100123823A (en)
CN (2) CN103489744A (en)
SG (2) SG187509A1 (en)
TW (1) TWI427725B (en)
WO (1) WO2009097089A2 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080227301A1 (en) * 2007-01-26 2008-09-18 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US20090166326A1 (en) * 2006-05-24 2009-07-02 Sexton Gregory S Edge electrodes with dielectric covers
US20110048453A1 (en) * 2009-09-03 2011-03-03 Tokyo Electron Limited Chamber cleaning method
US20110059614A1 (en) * 2009-09-04 2011-03-10 Saldana Miguel A Apparatus and Methods for Enhanced Fluid Delivery on Bevel Etch Applications
WO2011030966A1 (en) * 2009-09-10 2011-03-17 (주)케이에스텍 Apparatus and method for etching edge of wafer
US20110146703A1 (en) * 2009-12-17 2011-06-23 Lam Research Corporation Method and apparatus for processing bevel edge
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
US20110232566A1 (en) * 2007-10-02 2011-09-29 Jack Chen Method and apparatus for shaping a gas profile near bevel edge
US20120094502A1 (en) * 2010-10-19 2012-04-19 Neungho Shin Methods for depositing bevel protective film
US8323523B2 (en) 2008-12-17 2012-12-04 Lam Research Corporation High pressure bevel etch process
US20150099365A1 (en) * 2013-10-04 2015-04-09 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
US20180151335A1 (en) * 2016-11-29 2018-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method for controlling exposure region in bevel etching process for semiconductor fabrication
US20190318910A1 (en) * 2018-04-17 2019-10-17 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103219260A (en) * 2012-01-19 2013-07-24 中芯国际集成电路制造(上海)有限公司 Etching device using extreme-edge gas pipeline
CN103839746A (en) * 2012-11-26 2014-06-04 上海华虹宏力半导体制造有限公司 Etching equipment process gas gas-feeding device
CN104120410B (en) * 2013-04-27 2017-07-04 北京北方微电子基地设备工艺研究中心有限责任公司 A kind of reaction chamber and plasma processing device
CN103972051B (en) * 2014-05-20 2016-08-17 上海华力微电子有限公司 A kind of aluminum etching preliminary processes method eliminating crystal edge particle residue
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US10971333B2 (en) 2016-10-24 2021-04-06 Samsung Electronics Co., Ltd. Antennas, circuits for generating plasma, plasma processing apparatus, and methods of manufacturing semiconductor devices using the same
KR102096700B1 (en) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus and substrate procesing method
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
US10276364B2 (en) 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
US10566181B1 (en) 2018-08-02 2020-02-18 Asm Ip Holding B.V. Substrate processing apparatuses and substrate processing methods

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040079728A1 (en) * 2002-10-23 2004-04-29 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US20050173067A1 (en) * 2003-05-12 2005-08-11 Dong-Soo Lim Plasma etching chamber and plasma etching system using same
US20060086461A1 (en) * 2004-10-21 2006-04-27 Nec Electronics Corporation Etching apparatus and etching method
US7094698B2 (en) * 2002-03-04 2006-08-22 Hyo Sang Kang Method for dry etching a semiconductor wafer
US20070068900A1 (en) * 2005-09-27 2007-03-29 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142449A (en) 1993-11-22 1995-06-02 Kawasaki Steel Corp Plasma etching system
JP3629862B2 (en) * 1996-12-24 2005-03-16 セイコーエプソン株式会社 Unnecessary object removal method and apparatus for substrate periphery
DE102004024893A1 (en) * 2003-05-27 2005-04-14 Samsung Electronics Co., Ltd., Suwon Apparatus and method for etching a wafer edge
US7078350B2 (en) * 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
US7094689B2 (en) * 2004-07-20 2006-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap interconnect structure and method thereof
US20070068623A1 (en) * 2005-09-27 2007-03-29 Yunsang Kim Apparatus for the removal of a set of byproducts from a substrate edge and methods therefor
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
CN101986777B (en) * 2007-12-27 2014-02-19 朗姆研究公司 Copper discoloration prevention following bevel etch process

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7094698B2 (en) * 2002-03-04 2006-08-22 Hyo Sang Kang Method for dry etching a semiconductor wafer
US20040079728A1 (en) * 2002-10-23 2004-04-29 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US20050173067A1 (en) * 2003-05-12 2005-08-11 Dong-Soo Lim Plasma etching chamber and plasma etching system using same
US20060086461A1 (en) * 2004-10-21 2006-04-27 Nec Electronics Corporation Etching apparatus and etching method
US20070068900A1 (en) * 2005-09-27 2007-03-29 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US20090166326A1 (en) * 2006-05-24 2009-07-02 Sexton Gregory S Edge electrodes with dielectric covers
US9564308B2 (en) * 2006-05-24 2017-02-07 Lam Research Corporation Methods for processing bevel edge etching
US20160064215A1 (en) * 2006-05-24 2016-03-03 Lam Research Corporation Methods for Processing Bevel Edge Etching
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US10629458B2 (en) 2007-01-26 2020-04-21 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US10811282B2 (en) 2007-01-26 2020-10-20 Lam Research Corporation Upper plasma-exclusion-zone rings for a bevel etcher
US20080227301A1 (en) * 2007-01-26 2008-09-18 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US10832923B2 (en) 2007-01-26 2020-11-10 Lam Research Corporation Lower plasma-exclusion-zone rings for a bevel etcher
US20110232566A1 (en) * 2007-10-02 2011-09-29 Jack Chen Method and apparatus for shaping a gas profile near bevel edge
US9721782B2 (en) * 2007-10-02 2017-08-01 Lam Research Corporation Method and apparatus for shaping a gas profile near bevel edge
US8323523B2 (en) 2008-12-17 2012-12-04 Lam Research Corporation High pressure bevel etch process
US20110048453A1 (en) * 2009-09-03 2011-03-03 Tokyo Electron Limited Chamber cleaning method
CN102013388A (en) * 2009-09-03 2011-04-13 东京毅力科创株式会社 Chamber cleaning method
US8999068B2 (en) * 2009-09-03 2015-04-07 Tokyo Electron Limited Chamber cleaning method
US20110059614A1 (en) * 2009-09-04 2011-03-10 Saldana Miguel A Apparatus and Methods for Enhanced Fluid Delivery on Bevel Etch Applications
WO2011028617A2 (en) * 2009-09-04 2011-03-10 Lam Research Corporation Apparatus and methods for enhanced fluid delivery on bevel etch applications
WO2011028617A3 (en) * 2009-09-04 2011-06-09 Lam Research Corporation Apparatus and methods for enhanced fluid delivery on bevel etch applications
US8328980B2 (en) 2009-09-04 2012-12-11 Lam Research Corporation Apparatus and methods for enhanced fluid delivery on bevel etch applications
WO2011030966A1 (en) * 2009-09-10 2011-03-17 (주)케이에스텍 Apparatus and method for etching edge of wafer
KR101027452B1 (en) 2009-09-10 2011-04-06 (주)케이에스텍 Wafer edge etching apparatus and method thereof
CN102640267A (en) * 2009-12-17 2012-08-15 朗姆研究公司 Method and apparatus for processing bevel edge
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
WO2011084337A3 (en) * 2009-12-17 2011-09-09 Lam Research Corporation Method and apparatus for processing bevel edge
WO2011084337A2 (en) * 2009-12-17 2011-07-14 Lam Research Corporation Method and apparatus for processing bevel edge
US20110146703A1 (en) * 2009-12-17 2011-06-23 Lam Research Corporation Method and apparatus for processing bevel edge
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
CN103460347A (en) * 2010-10-19 2013-12-18 朗姆研究公司 Methods for depositing bevel protective film
TWI555070B (en) * 2010-10-19 2016-10-21 蘭姆研究公司 Methods for depositing bevel protective film
US8501283B2 (en) * 2010-10-19 2013-08-06 Lam Research Corporation Methods for depositing bevel protective film
US20120094502A1 (en) * 2010-10-19 2012-04-19 Neungho Shin Methods for depositing bevel protective film
US20150099365A1 (en) * 2013-10-04 2015-04-09 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
US10937634B2 (en) * 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
US20180151335A1 (en) * 2016-11-29 2018-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method for controlling exposure region in bevel etching process for semiconductor fabrication
US10879051B2 (en) * 2016-11-29 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for controlling exposure region in bevel etching process for semiconductor fabrication
US20190318910A1 (en) * 2018-04-17 2019-10-17 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11462387B2 (en) * 2018-04-17 2022-10-04 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method

Also Published As

Publication number Publication date
EP2240957A4 (en) 2011-06-15
KR20160145200A (en) 2016-12-19
SG10201605996VA (en) 2016-09-29
CN101925985B (en) 2013-11-20
EP2240957A2 (en) 2010-10-20
CN103489744A (en) 2014-01-01
US8083890B2 (en) 2011-12-27
TWI427725B (en) 2014-02-21
JP2011511437A (en) 2011-04-07
KR20100123823A (en) 2010-11-25
SG187509A1 (en) 2013-02-28
WO2009097089A2 (en) 2009-08-06
KR20160028519A (en) 2016-03-11
JP5502756B2 (en) 2014-05-28
WO2009097089A3 (en) 2009-09-24
TW200947590A (en) 2009-11-16
CN101925985A (en) 2010-12-22
US8349202B2 (en) 2013-01-08
JP2014060440A (en) 2014-04-03
US20110253312A9 (en) 2011-10-20
US20120074099A1 (en) 2012-03-29

Similar Documents

Publication Publication Date Title
US8083890B2 (en) Gas modulation to control edge exclusion in a bevel edge etching plasma chamber
US7909960B2 (en) Apparatus and methods to remove films on bevel edge and backside of wafer
US9564308B2 (en) Methods for processing bevel edge etching
US8940098B2 (en) Method for distributing gas for a bevel etcher
US7749914B2 (en) Plasma etching method
US8574397B2 (en) Bevel edge plasma chamber with top and bottom edge electrodes
JP5064707B2 (en) Plasma processing equipment
KR101863992B1 (en) Method for etching etching target layer
WO2009070562A1 (en) Plasma control using dual cathode frequency mixing
JP2003243365A (en) Plasma etching method
JP2000332000A (en) Plasma treating device and method for controlling the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FANG, TONG;KIM, YUNSANG;BAILEY, ANDREW D, III;AND OTHERS;REEL/FRAME:020453/0982

Effective date: 20080123

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12