KR102030470B1 - Test method and apparatus for treating substrate - Google Patents

Test method and apparatus for treating substrate Download PDF

Info

Publication number
KR102030470B1
KR102030470B1 KR1020160083461A KR20160083461A KR102030470B1 KR 102030470 B1 KR102030470 B1 KR 102030470B1 KR 1020160083461 A KR1020160083461 A KR 1020160083461A KR 20160083461 A KR20160083461 A KR 20160083461A KR 102030470 B1 KR102030470 B1 KR 102030470B1
Authority
KR
South Korea
Prior art keywords
substrate
cathode electrode
gas
unit
electrode portion
Prior art date
Application number
KR1020160083461A
Other languages
Korean (ko)
Other versions
KR20180003827A (en
Inventor
정다운
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020160083461A priority Critical patent/KR102030470B1/en
Publication of KR20180003827A publication Critical patent/KR20180003827A/en
Application granted granted Critical
Publication of KR102030470B1 publication Critical patent/KR102030470B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02021Edge treatment, chamfering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 기판 처리 장치에 관한 것으로, 본 발명의 일 실시예에 따른 기판 처리 장치는, 내부 공간이 형성된 공정 챔버; 제1전원이 인가되는 캐소드 전극부; 상기 캐소드 전극부와 대향하며, 기판이 안착되고, 제2전원이 인가되는 애노드 전극부; 기판 상면의 에칭 처리 및 기판의 베벨 에지 상의 부산물 제거를 위해 상기 캐소드 전극을 승강시키는 승강 유닛; 및 상기 승강 유닛을 제어하는 제어부를 포함하되; 상기 제어부는 기판 상면의 에칭 공정에서는 상기 캐소드 전극부와 상기 애노드 전극부에 안착된 기판 사이의 공간이 제공되도록 상기 승강 유닛을 제어하고, 기판의 베벨 에지상의 부산물을 제거하는 베벨 공정에서는 상기 캐소드 전극부와 상기 애노드 전극부에 안착된 기판 사이의 공간이 제거되도록 상기 승강 유닛을 제어하는 제어부를 포함할 수 있다.The present invention relates to a substrate processing apparatus, and a substrate processing apparatus according to an embodiment of the present invention, a process chamber formed with an internal space; A cathode electrode part to which a first power source is applied; An anode electrode portion facing the cathode electrode portion, on which a substrate is seated, and to which a second power source is applied; An elevating unit for elevating the cathode electrode for etching the upper surface of the substrate and removing by-products on the bevel edge of the substrate; And a control unit for controlling the lifting unit; The control unit controls the lift unit to provide a space between the cathode electrode portion and the substrate seated on the anode electrode in the etching process of the upper surface of the substrate, and the cathode electrode in the bevel process of removing by-products on the bevel edge of the substrate. The control unit may control the lifting unit to remove the space between the substrate and the substrate seated on the anode electrode.

Description

기판 처리 장치 및 방법{TEST METHOD AND APPARATUS FOR TREATING SUBSTRATE}Substrate processing apparatus and method {TEST METHOD AND APPARATUS FOR TREATING SUBSTRATE}

본 발명은 샤워 헤드를 포함하는 기판 처리 장치에 관한 것으로, 보다 상세하게는 식각 공정과 베벨 공정을 수행할 수 있는 기판 처리 장치 및 방법에 관한 것이다. The present invention relates to a substrate processing apparatus including a shower head, and more particularly, to a substrate processing apparatus and a method capable of performing an etching process and a bevel process.

기판, 예를 들어, 반도체 기판 (또는 웨이퍼) 또는 플랫 패널 디스플레이 제조에 사용되는 것과 같은 유리 패널의 처리 시, 종종 플라즈마가 사용된다. 기판 처리 동안, 기판 (또는 웨이퍼) 은 복수의 다이들 또는 직사각형 영역들로 분할된다. 복수의 다이들 각각은 집적 회로가 될 것이다. 이후, 기판은, 재료가 선택적으로 제거 (또는 에칭) 되고 증착되는 일련의 단계들에서 처리된다.Plasma is often used in the processing of substrates such as glass panels, such as those used in the manufacture of semiconductor substrates (or wafers) or flat panel displays. During substrate processing, the substrate (or wafer) is divided into a plurality of dies or rectangular regions. Each of the plurality of dies will be an integrated circuit. The substrate is then processed in a series of steps where the material is selectively removed (or etched) and deposited.

일반적으로, 기판은 에칭 전에 (포토레지스트 마스크와 같은) 경화된 에멀전의 박막으로 코팅된다. 이후, 경화된 에멀전의 영역이 선택적으로 제거되어, 하부층의 일부가 노출되게 한다. 이후, 기판이 플라즈마 처리 챔버 내의 기판 지지 구조체 상에 위치된다. 적절한 세트의 플라즈마 가스들이 챔버에 도입되고 플라즈마가 생성되어 기판의 노출 영역을 에칭한다. Generally, the substrate is coated with a thin film of a cured emulsion (such as a photoresist mask) prior to etching. Thereafter, the area of the cured emulsion is selectively removed, exposing a portion of the underlying layer. Subsequently, the substrate is placed on the substrate support structure in the plasma processing chamber. An appropriate set of plasma gases is introduced into the chamber and a plasma is generated to etch the exposed area of the substrate.

에칭 프로세스 동안, 에칭 부산물, 예를 들어, 탄소 (C), 산소 (O), 질소 (N), 불소 (F) 등으로 구성된 폴리머가 기판 에지 (또는 베벨 에지) 근처의 상부 및 하부 표면들 상에 종종 형성된다. 에칭 플라즈마 밀도는 일반적으로 기판의 에지 근처에서 더 낮아, 기판 베벨 에지의 상부 및 하부 표면들 상에 폴리머 부산물을 축적시킨다. During the etching process, an etch byproduct, for example, a polymer composed of carbon (C), oxygen (O), nitrogen (N), fluorine (F), or the like, is placed on the upper and lower surfaces near the substrate edge (or bevel edge). Often formed on. The etch plasma density is generally lower near the edge of the substrate, accumulating polymer byproducts on the upper and lower surfaces of the substrate bevel edge.

일반적으로, 기판의 에지 근처, 예를 들어 기판 에지로부터 약 2 mm 내지 약 15 mm에는 다이들이 존재하지 않는다. 그러나, 몇번의 상이한 증착 및 에칭 프로세스들의 결과로서 연속적으로 일부러 증착된 막과 부산물 폴리머 층이 베벨 에지의 상부 및 하부 표면들 상에 증착됨에 따라, 통상적으로 강하고 접착력있는 결합이 후속하는 처리 단계들 동안 결국 약해질 것이다. 베벨 에지 근처에 형성된, 일부러 증착된 막과 폴리머 층은 기판의 이송 동안 종종 다른 기판 상으로 박리되거나 조각조각 떨어져 나간다. 예를 들어, 기판은 보통, 실질적으로 종종 카세트라 지칭되는 용기들을 통해 플라즈마 처리 시스템들 간의 세트들 내에서 이동되며, 이 과정에서 베벨 에지 상의 부산물 및 일부러 증착시킨 막의 파티클 (또는 조각) 이 다이들이 존재하는 더 아래에 있는 기판 위로 떨어져, 잠재적으로 디바이스의 수율에 영향을 끼칠 수도 있다.Generally, there are no dies near the edge of the substrate, for example about 2 mm to about 15 mm from the substrate edge. As a result of several different deposition and etching processes, however, the deliberately deposited film and by-product polymer layer are deposited on the upper and lower surfaces of the bevel edge, typically a strong and adhesive bond is followed during subsequent processing steps. It will eventually weaken. The deliberately deposited film and polymer layer formed near the bevel edge often peel off or scrap off onto another substrate during transfer of the substrate. For example, the substrate is usually moved within sets between plasma processing systems through vessels, often referred to as cassettes, in which process dies (or pieces) of by-products and deliberately deposited films on the bevel edge Falling over the further underlying substrate present may potentially affect the yield of the device.

이와 같이, 기판의 가장자리, 끝단 또는 에지 상의 원하지 않는 폴리머를 제거하는 공정을 베벨 공정(bevel-processing)이라 칭하며, 이와 같은 베벨 공정은 별도의 베벨 장치(bevel etcher)에서 수행하게 된다. 따라서, 기판 식각 장치에서 식각 공정을 마친 기판은 별도의 베벨 장치로 이동해야 하기 때문에 공정 효율이 낮고 기판을 이동시키는 과정에서 주변 장치가 오염되는 문제점이 있다. As such, the process of removing unwanted polymer on the edge, end or edge of the substrate is referred to as bevel-processing, which is performed in a separate bevel etcher. Therefore, since the substrate that has been etched in the substrate etching apparatus needs to be moved to a separate bevel apparatus, process efficiency is low and peripheral devices are contaminated in the process of moving the substrate.

본 발명은 기판 식각 공정 후 기판의 베벨 에지상의 원하지 않는 폴리머를 제거할 수 있는 기판 처리 장치 및 방법을 제공하는 것을 일 목적으로 한다.It is an object of the present invention to provide a substrate processing apparatus and method capable of removing unwanted polymer on the bevel edge of a substrate after a substrate etching process.

본 발명이 해결하고자 하는 과제가 상술한 과제들로 한정되는 것은 아니며, 언급되지 아니한 과제들은 본 명세서 및 첨부된 도면으로부터 본 발명의 속하는 기술 분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.The problem to be solved by the present invention is not limited to the above-described problem, and the objects not mentioned may be clearly understood by those skilled in the art from the present specification and the accompanying drawings. will be.

본 발명의 일 측면에 따르면, 내부 공간이 형성된 공정 챔버; 제1전원이 인가되는 캐소드 전극; 상기 캐소드 전극과 대향하며, 기판이 안착되고, 제2전원이 인가되는 애노드 전극; 기판 상면의 에칭 처리 및 기판의 베벨 에지 상의 부산물 제거를 위해 상기 캐소드 전극을 승강시키는 승강 유닛; 및 상기 승강 유닛을 제어하는 제어부를 포함하되; 상기 제어부는 기판 상면의 에칭 공정에서는 상기 캐소드 전극과 상기 애노드 전극에 안착된 기판 사이의 공간이 제공되도록 상기 승강 유닛을 제어하고, 기판의 베벨 에지상의 부산물을 제거하는 베벨 공정에서는 상기 캐소드 전극과 상기 애노드 전극에 안착된 기판 사이의 공간이 제거되도록 상기 승강 유닛을 제어하는 제어부를 포함하는 기판 처리 장치가 제공될 수 있다. According to an aspect of the invention, the process chamber is formed with an inner space; A cathode electrode to which a first power source is applied; An anode facing the cathode electrode and having a substrate seated thereon and a second power source applied thereto; An elevation unit for elevating the cathode electrode for etching the upper surface of the substrate and removing by-products on the bevel edge of the substrate; And a control unit for controlling the lifting unit; The control unit controls the lift unit to provide a space between the cathode electrode and the substrate seated on the anode electrode in the etching process of the upper surface of the substrate, and the cathode electrode and the cathode in the bevel process of removing by-products on the bevel edge of the substrate. A substrate processing apparatus including a control unit for controlling the elevating unit to remove the space between the substrates seated on the anode electrode may be provided.

또한, 상기 공정 챔버 내부로 공정 가스를 공급하는 가스 공급 라인을 갖는 가스 공급 유닛을 더 포함하고, 상기 캐소드 전극은 상기 가스 공급 유닛에 연통되어 상기 공정 챔버 내부로 상기 공정 가스를 공급하는 샤워헤드를 포함할 수 있다.The apparatus may further include a gas supply unit having a gas supply line for supplying a process gas into the process chamber, wherein the cathode electrode communicates with the gas supply unit and supplies a shower head to supply the process gas into the process chamber. It may include.

또한, 상기 샤워헤드는 기판 상으로 공정 가스를 분사하는 기판 영역과, 기판 외곽으로 공정가스를 분사하는 외곽 영역을 포함하고, 상기 가스 공급 유닛은 상기 기판 영역으로 공정 가스를 공급하는 제1공급라인; 및 상기 외곽 영역으로 공정 가스를 공급하는 제2공급라인들을 포함할 수 있다.In addition, the shower head includes a substrate region for injecting a process gas onto a substrate and an outer region for injecting a process gas to the outside of the substrate, and the gas supply unit supplies a first supply line to supply the process gas to the substrate region. ; And second supply lines supplying a process gas to the outer region.

또한, 상기 제어부는 상기 베벨 공정시 상기 외곽 영역으로만 공정가스가 분사되도록 상기 가스 공급 유닛을 제어할 수 있다.In addition, the controller may control the gas supply unit so that the process gas is injected only into the outer region during the bevel process.

또한, 상기 애노즈 전극은 정전척을 포함할 수 있다.In addition, the anode electrode may include an electrostatic chuck.

본 발명의 일 측면에 따르면, 기판 상면에 대한 에칭 공정을 진행하는 단계; 및 상기 기판의 베벨 에지상의 부산물을 제거하는 베벨 공정을 진행하는 단계를 포함하되; 상기 에칭 공정과 상기 베벨 공정은 동일한 공정 챔버 내에서 진행되는 기판 처리 방법이 제공될 수 있다. According to an aspect of the invention, the step of performing an etching process for the upper surface of the substrate; And proceeding with a bevel process to remove by-products on the bevel edge of the substrate; The etching process and the bevel process may be provided with a substrate processing method which is performed in the same process chamber.

또한, 상기 에칭 단계는 캐소드 전극과 애노드 전극에 안착된 기판이 서로 이격된 상태에서 진행되고, 상기 베벨 공정은 상기 캐소드 전극을 하강시켜 상기 캐소드 전극과 상기 애노드 전극에 안착된 기판이 이격되지 않은 상태에서 진행될 수 있다. In addition, the etching step is performed in a state in which the cathode electrode and the substrate seated on the anode are spaced apart from each other, the bevel process is a state in which the cathode electrode and the substrate seated on the anode electrode is not spaced apart from each other Can be proceeded from.

또한, 상기 에칭 단계에서는 상기 기판 상의 영역과 상기 기판 외곽의 영역 모두에 공정 가스를 공급하고, 상기 베벨 공정에서는 상기 기판 외곽 영역으로만 공정 가스를 공급할 수 있다. In addition, in the etching step, the process gas may be supplied to both the region on the substrate and the region outside the substrate, and the process gas may be supplied only to the substrate outer region in the bevel process.

본 발명의 실시예에 의하면, 동일 공정 챔버 내에서 기판에 대한 에칭 공정과 베벨 공정을 연속적으로 수행할 수 있어 공정 효율을 향상시킬 수 있다.According to the exemplary embodiment of the present invention, the etching process and the bevel process for the substrate may be continuously performed in the same process chamber, thereby improving process efficiency.

본 발명의 효과가 상술한 효과들로 한정되는 것은 아니며, 언급되지 아니한 효과들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확히 이해될 수 있을 것이다.The effects of the present invention are not limited to the above-described effects, and effects that are not mentioned will be clearly understood by those skilled in the art from the present specification and the accompanying drawings.

도 1은 본 발명의 일 실시예에 따른 기판 처리 장치를 보여주는 단면도이다.
도 2는 도 1의 샤워 헤드를 저면에서 바라본 도면이다.
도 3은 샤워 헤드의 체결 상태가 정상인 경우의 가스 공급 상태를 보여주는 도면이다.
도 4는 샤워 헤드의 체결 상태가 비정상인 경우의 가스 공급 상태를 보여주는 도면이다.
1 is a cross-sectional view illustrating a substrate processing apparatus according to an embodiment of the present invention.
FIG. 2 is a view of the shower head of FIG. 1 seen from the bottom.
3 is a view showing a gas supply state when the fastening state of the shower head is normal.
4 is a view showing a gas supply state when the fastening state of the shower head is abnormal.

본 발명의 실시예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 서술하는 실시예로 인해 한정되어지는 것으로 해석되어서는 안된다. 본 실시예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공되는 것이다. 따라서 도면에서의 구성 요소의 형상 등은 보다 명확한 설명을 강조하기 위해서 과장된 것이다.The embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be interpreted as being limited by the embodiments described below. This embodiment is provided to more completely explain the present invention to those skilled in the art. Therefore, the shape and the like of the components in the drawings are exaggerated to emphasize a more clear description.

본 발명의 실시예에서는 플라즈마를 이용하여 기판을 세정하는 기판 처리 장치 에 대해 설명한다. 그러나 본 발명은 이에 한정되지 않고, 그 상부에 놓여진 기판을 가열하는 다양한 종류의 장치에 적용 가능하다. In the embodiment of the present invention, a substrate processing apparatus for cleaning a substrate using plasma will be described. However, the present invention is not limited to this, and is applicable to various kinds of apparatuses for heating a substrate placed thereon.

또한 본 발명의 실시예에서는 지지 유닛으로 정전 척을 예로 들어 설명한다. 그러나 본 발명은 이에 한정되지 않고, 지지 유닛은 기계적 클램핑에 의해 기판을 지지하거나, 진공에 의해 기판을 지지할 수 있다. In addition, the embodiment of the present invention will be described by taking an electrostatic chuck as an example of the support unit. However, the present invention is not limited thereto, and the support unit may support the substrate by mechanical clamping or the substrate by vacuum.

도 1은 본 발명의 일 실시예에 따른 기판 처리 장치(10)를 나타내는 단면도이고 도 2는 캐소드 전극부와 애노드 전극부를 보여주는 도면이다. 1 is a cross-sectional view illustrating a substrate processing apparatus 10 according to an exemplary embodiment of the present invention, and FIG. 2 is a view illustrating a cathode electrode part and an anode electrode part.

기판 처리 장치(10)는 플라즈마를 이용하여 기판(W)을 처리한다. 본 발명의 실시예에서는 용량 결합형 플라즈마(capacitive coupled plasma)를 이용하여 기판(W)을 식각하는 장치를 예로 들어 설명한다. The substrate processing apparatus 10 processes the substrate W using plasma. In the embodiment of the present invention, an apparatus for etching the substrate W by using capacitive coupled plasma will be described as an example.

도 1을 참조하면, 기판 처리 장치(10)는 공정 챔버(100), 캐소드 전극부(120), 애노드 전극부(130), 가스 공급 유닛(300), 승강 유닛(400) 그리고 제어부(500)를 포함한다.Referring to FIG. 1, the substrate processing apparatus 10 includes a process chamber 100, a cathode electrode unit 120, an anode electrode unit 130, a gas supply unit 300, a lifting unit 400, and a controller 500. It includes.

공정 챔버(100)는 내부에 공정 수행을 위한 공간을 가진다. 일 예로, 상기 공정 챔버(110)는 금속 측벽(114)과 금속 베이스(116)를 구비한다. 금속벽(114) 및 금속 베이스(116)는 접지되어 있다. 즉 기준전위에 있다. The process chamber 100 has a space for performing a process therein. For example, the process chamber 110 may include a metal sidewall 114 and a metal base 116. The metal wall 114 and the metal base 116 are grounded. That is, at the reference potential.

금속 베이스(116)에는 배기홀(103)이 형성된다. 배기홀(103)은 라인과 연결된다. 진공 펌프(130)는 배기 라인(132)에 의해 공정 챔버(110)와 연결된다. 진공 펌프(130)는 공정 챔버(110)의 내부를 밀리토르 범위에서 적절한 압력을 유지하도록 배기한다. 공정 과정에서 발생한 반응 부산물 및 공정 챔버(100) 내부에 머무르는 가스는 배기 라인(121)을 통해 배기된다. 따라서, 공정 챔버(100)의 외부로 배출될 수 있다. 또한, 배기 과정에 의해 공정 챔버(100)의 내부공간은 소정 압력으로 감압된다. An exhaust hole 103 is formed in the metal base 116. The exhaust hole 103 is connected to the line. The vacuum pump 130 is connected with the process chamber 110 by an exhaust line 132. The vacuum pump 130 exhausts the interior of the process chamber 110 to maintain an appropriate pressure in the millitorr range. The reaction by-products generated during the process and the gas remaining in the process chamber 100 are exhausted through the exhaust line 121. Therefore, it may be discharged to the outside of the process chamber 100. In addition, the internal space of the process chamber 100 is reduced to a predetermined pressure by the exhaust process.

공정 챔버(100)의 측벽에는 개구(미도시됨)가 형성된다. 개구는 공정 챔버(100) 내부로 기판이 출입하는 통로로 기능한다. 개구는 도어 어셈블리(미도시됨)에 의해 개폐된다. 일 예에 의하면, 도어 어셈블리(미도시됨)는 외측 도어, 내측 도어, 그리고 연결판을 가진다. 외측 도어는 공정 챔버의 외벽에 제공된다. 내측 도어는 공정 챔버의 내벽에 제공된다. 외측 도어와 내측 도어는 연결판에 의해 서로 고정 결합된다. 연결판은 개구를 통해 공정 챔버의 내측에서 외측까지 연장되게 제공된다. 도어 구동기는 외측 도어를 상하 방향으로 이동시킨다. 도어 구동기는 유공압 실린더나 모터를 포함할 수 있다.An opening (not shown) is formed in the sidewall of the process chamber 100. The opening functions as a passage through which the substrate enters and exits the process chamber 100. The opening is opened and closed by a door assembly (not shown). In one example, a door assembly (not shown) has an outer door, an inner door, and a connecting plate. The outer door is provided on the outer wall of the process chamber. The inner door is provided on the inner wall of the process chamber. The outer door and the inner door are fixedly connected to each other by a connecting plate. The connecting plate is provided to extend from the inside to the outside of the process chamber through the opening. The door driver moves the outer door in the vertical direction. The door driver may comprise a hydraulic cylinder or a motor.

캐소드 전극부(120)과 애노드 전극부(130)는 공정 챔버(110) 내부에 서로 대향되게 배치된다. 기판(w)은 공정 챔버의 베이스(116)에 가까운 애노드 전극부(130)에 놓여진다. 애노드 전극부(130)는 기판을 홀딩하는 지지 유닛일 수 있다. 일 예로, 애노드 전극부(130)는 애노드 전극(132)과, 정전기력에 의해 기판을 홀딩하는 정전척(234)을 포함할 수 있다. The cathode electrode part 120 and the anode electrode part 130 are disposed to face each other in the process chamber 110. The substrate w is placed on the anode electrode portion 130 close to the base 116 of the process chamber. The anode electrode unit 130 may be a support unit for holding a substrate. For example, the anode electrode unit 130 may include an anode electrode 132 and an electrostatic chuck 234 holding the substrate by an electrostatic force.

바람직하게 고주파 전원(122)은 매칭 네트워크(124)를 통해 전압을 상기 애노드 전극(132)으로 인가하며, 이에 의해 기판(w)은 고주파전원의 전압에 효과적으로 바이어스되므로, 진공챔버(110)내의 전하 입자들은 기판(w)쪽으로 끌어 당겨진다. Preferably, the high frequency power supply 122 applies a voltage to the anode electrode 132 through the matching network 124, whereby the substrate (w) is effectively biased to the voltage of the high frequency power supply, so that the charge in the vacuum chamber 110 The particles are attracted towards the substrate w.

여기서, 기판은 포토레티클(reticlo: 회로 원판)용 기판, 액정 디스플레이 패널용 기판이나 플라즈마 디스플레이 패널용 기판 등의 표시 패널 기판, 하드 디스크용 기판, 반도체 장치 등의 전자 디바이스용 기판 등을 뜻한다.Here, the substrate means a substrate for a photo reticle, a display panel substrate such as a substrate for a liquid crystal display panel or a substrate for a plasma display panel, a substrate for an electronic device such as a hard disk substrate, a semiconductor device, or the like.

애노드 전극부(130)에는 공정 진행 중 기판을 공정 온도로 유지하도록 하는 가열 부재(135) 및 냉각 부재(136)가 제공될 수 있다. 가열 부재(135)는 열선으로 제공될 수 있다. 냉각 부재(136)는 냉매가 흐르는 냉각 라인으로 제공될 수 있다. 일 예에 의하면, 가열 부재(135)는 정전 척(134)에 제공되고, 냉각 부재(136)는 애노드 전극부(130)의 베이스(138)에 제공될 수 있다. The anode electrode 130 may be provided with a heating member 135 and a cooling member 136 to maintain the substrate at the process temperature during the process. The heating member 135 may be provided as a hot wire. The cooling member 136 may be provided as a cooling line through which the refrigerant flows. According to an example, the heating member 135 may be provided to the electrostatic chuck 134, and the cooling member 136 may be provided to the base 138 of the anode electrode part 130.

캐소드 전극부(120)는 캐소드 전극(122)과 샤워헤드(124)를 포함할 수 있다. 샤워 헤드(124)는 애노드 전극부(130)과 대향되게 위치되고, 애노드 전극부의 정전척(134)보다 큰 직경으로 제공될 수 있다. 샤워 헤드(124)는 홀(124a)을 가진다. 공정 가스는 홀들(124a)을 통해 분사된다. The cathode electrode unit 120 may include a cathode electrode 122 and a shower head 124. The shower head 124 may be positioned to face the anode electrode 130 and may be provided with a larger diameter than the electrostatic chuck 134 of the anode electrode portion. The shower head 124 has a hole 124a. Process gas is injected through the holes 124a.

상부 전극(122)은 샤워 헤드(124)의 상부에 제공될 수 있다. 상부 전극(122)은 샤워 헤드(124)와 대향되게 제공되고, 샤워 헤드(124)와 결합된다. 상부 전극(122)은 샤워 헤드(124)와 전기적으로 연결되도록 샤워 헤드(124)에 접촉되게 제공될 수 있다. 샤워헤드(124)는 기판 상으로 공정 가스를 분사하는 기판 영역(X1)에 형성되는 가스분사홀(124a)들과, 기판 외곽으로 공정가스를 분사하는 외곽 영역(X2)에 형성되는 가스분사홀(124b)들을 포함한다. The upper electrode 122 may be provided on the shower head 124. The upper electrode 122 is provided to face the shower head 124 and is coupled to the shower head 124. The upper electrode 122 may be provided in contact with the shower head 124 to be electrically connected to the shower head 124. The shower head 124 includes gas injection holes 124a formed in the substrate area X1 for injecting process gas onto the substrate, and gas injection holes formed in the outer area X2 for injecting the process gas to the outside of the substrate. 124b.

가스 공급 유닛(300)은 중앙 가스 공급 라인(322) 및 가장자리 가스 공급 라인(324)을 가질 수 있다. 중앙 가스공급 라인(322)은 샤워헤드(124)의 기판 영역(X1)으로 공정 가스를 공급한다. 가장자리 가스 공급 라인(324)은 샤워헤드(124)의 외곽 영역(X2)으로 공정 가스를 공급한다. 분배기(330)는 중앙 가스 공급 라인(322) 및 가장자리 가스 공급 라인(324)로 공급되는 공정 가스의 양을 분배할 수 있다. 공정 가스는 가스 분사홀(124a,124b)들을 통해 공정 챔버 내부로 공급된다. 공정 가스에는 헬륨(He), 네온(Ne), 아르곤(Ar) 등과같이 화학적 활성이 없는 불활성기체로부터, 사불화탄소(CF4)를 비롯한 여러 가지 불화탄소 계열들의 가스들이 이용될 수 있다. 본 실시예에서는 옥사이드 식각(oxide etching)을 위해 불화탄소 계열의 가스가 이용될 수 있다. The gas supply unit 300 may have a central gas supply line 322 and an edge gas supply line 324. The central gas supply line 322 supplies process gas to the substrate region X1 of the showerhead 124. The edge gas supply line 324 supplies process gas to the outer region X2 of the showerhead 124. The distributor 330 may distribute the amount of process gas supplied to the central gas supply line 322 and the edge gas supply line 324. The process gas is supplied into the process chamber through the gas injection holes 124a and 124b. In the process gas, gases of various carbon fluoride series including carbon tetrafluoride (CF4) may be used from an inert gas such as helium (He), neon (Ne), argon (Ar), and the like, which have no chemical activity. In this embodiment, a fluorocarbon gas may be used for oxide etching.

승강 유닛(400)은 캐소드 전극부(120)를 승강시킨다. 승강 유닛(400)은 공정 챔버(100) 상부에 설치될 수 있다. 일 예로, 승강 유닛(400)은 유압 실린더 방식의 직선 구동 장치일 수 있다. The elevating unit 400 elevates the cathode electrode unit 120. The elevation unit 400 may be installed above the process chamber 100. For example, the lifting unit 400 may be a hydraulic cylinder type linear driving device.

제어부(500)는 승강 유닛(400)을 제어한다. 제어부(500)는 기판 상면의 에칭 공정에서 캐소드 전극부(120)와 애노드 전극부(130)에 안착된 기판(w) 사이의 공간이 제공되도록 승강 유닛(400)을 제어할 수 있다. 또한, 제어부(500)는 기판의 베벨 에지상의 부산물을 제거하는 베벨 공정에서 캐소드 전극부(120)와 애노드 전극부(130)에 안착된 기판(w) 사이의 공간이 제거되도록 승강 유닛(400)을 제어할 수 있다.The controller 500 controls the lifting unit 400. The controller 500 may control the lifting unit 400 to provide a space between the cathode electrode 120 and the substrate w seated on the anode electrode 130 in the etching process of the upper surface of the substrate. In addition, the control unit 500 lifts and lowers the unit 400 so that the space between the cathode electrode 120 and the substrate w seated on the anode electrode 130 is removed in the bevel process of removing the by-product on the bevel edge of the substrate. Can be controlled.

또한, 제어부(500)는 에칭 공정시 샤워헤드(124)가 기판 영역(X1)과 외곽의 영역(X2) 모두에서 공정 가스를 분사하도록 가스 공급 유닛(300)을 제어하며, 베벨 공정시에는 샤워헤드(124)가 외곽 영역(X2)에서만 공정 가스를 분사하도록 가스 공급 유닛(300)을 제어할 수 있다. In addition, the controller 500 controls the gas supply unit 300 such that the shower head 124 injects the process gas in both the substrate region X1 and the outer region X2 during the etching process, and the shower during the bevel process. The head 124 may control the gas supply unit 300 to inject the process gas only in the outer region X2.

도 3은 본 발명의 에칭 공정 상태를 보여주는 도면이고, 도 4는 베벨 공정 상태를 보여주는 도면이다.3 is a view showing an etching process state of the present invention, Figure 4 is a view showing a bevel process state.

도 3 및 도 4를 참조하면, 본 발명에서의 기판 처리 방법은 에칭 공정과 베벨 공정을 포함한다. 에칭 공정은 기판 상면에 대한 에칭을 진행하고, 베벨 공정은 기판의 베벨 에지상의 부산물(폴리머)을 제거하는 공정을 진행한다.3 and 4, the substrate processing method in the present invention includes an etching process and a bevel process. The etching process proceeds to etch the substrate top surface, and the bevel process proceeds to remove by-products (polymers) on the bevel edge of the substrate.

여기서, 에칭 공정과 베벨 공정은 동일한 공정 챔버 내에서 진행된다. Here, the etching process and the bevel process proceed in the same process chamber.

도 3에서와 같이, 에칭 단계는 캐소드 전극부(120)와 애노드 전극부(130)에 안착된 기판(W)이 서로 이격된 상태에서 샤워헤드(124)는 기판 영역(X1)과 외곽의 영역(X2) 모두에서 공정 가스를 분사하며 진행한다.As shown in FIG. 3, in the etching step, the showerhead 124 is formed of the substrate area X1 and the outer area in a state where the substrate W mounted on the cathode electrode part 120 and the anode electrode part 130 are spaced apart from each other. Proceed with injection of the process gas at both (X2).

베벨 공정은 에칭 단계를 완료한 후 캐소드 전극부(120)를 하강시켜 캐소드 전극부(120)와 애노드 전극부(130)에 안착된 기판(W)이 이격되지 않은 상태에서 샤워헤드(124)는 기판 외곽 영역(X2)으로만 공정 가스를 분사하며 진행한다. In the bevel process, after the etching step is completed, the cathode electrode part 120 is lowered so that the shower head 124 is not spaced apart from the substrate W seated on the cathode electrode part 120 and the anode electrode part 130. The process gas is sprayed only to the substrate outer region X2.

베벨 공정은 캐소드 전극부(120)와 기판(W) 사이의 간격이 없고, 기판 상부로 공정가스가 제공되지 않기 때문에 기판 상부에 플라즈마가 생성되지 않고 기판 외곽에만 플라즈마가 생성되면서 기판의 베벨 에지상의 폴리머를 제거할 수 있게 된다. In the bevel process, there is no gap between the cathode electrode 120 and the substrate W, and since no process gas is provided to the upper portion of the substrate, no plasma is generated on the upper portion of the substrate. The polymer can be removed.

이상의 설명은 본 발명의 기술 사상을 예시적으로 설명한 것에 불과한 것으로서, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 본 발명의 본질적인 특성에서 벗어나지 않는 범위에서 다양한 수정 및 변형이 가능할 것이다. 따라서, 본 발명에 개시된 실시 예들은 본 발명의 기술 사상을 한정하기 위한 것이 아니라 설명하기 위한 것이고, 이러한 실시 예에 의하여 본 발명의 기술 사상의 범위가 한정되는 것은 아니다. 본 발명의 보호 범위는 아래의 청구범위에 의하여 해석되어야 하며, 그와 동등한 범위 내에 있는 모든 기술 사상은 본 발명의 권리범위에 포함되는 것으로 해석되어야 할 것이다.The above description is merely illustrative of the technical idea of the present invention, and those skilled in the art to which the present invention pertains may make various modifications and changes without departing from the essential characteristics of the present invention. Therefore, the embodiments disclosed in the present invention are not intended to limit the technical idea of the present invention but to describe the present invention, and the scope of the technical idea of the present invention is not limited thereto. The protection scope of the present invention should be interpreted by the following claims, and all technical ideas within the equivalent scope should be interpreted as being included in the scope of the present invention.

100 : 공정 챔버 120 : 캐소드 전극부
130 : 애노드 전극부 300 : 가스 공급 유닛
400 : 승강 유닛 500 : 제어부
100: process chamber 120: cathode electrode portion
130: anode electrode 300: gas supply unit
400: lifting unit 500: control unit

Claims (8)

내부 공간이 형성된 공정 챔버;
상기 공정 챔버 내부로 공정 가스를 공급하는 가스 공급 라인을 갖는 가스 공급 유닛;
제1전원이 인가되는 그리고 상기 가스 공급 유닛에 연통되어 상기 공정 챔버 내부로 상기 공정 가스를 공급하는 샤워헤드를 갖는 캐소드 전극부;
상기 캐소드 전극부와 대향하며, 기판이 안착되고, 제2전원이 인가되는 애노드 전극부;
기판 상면의 에칭 처리 및 기판의 베벨 에지 상의 부산물 제거를 위해 상기 캐소드 전극부를 승강시키는 승강 유닛; 및
상기 승강 유닛을 제어하는 제어부를 포함하되;
상기 샤워헤드는
기판 상으로 공정 가스를 분사하는 기판 영역과, 기판 외곽으로 공정가스를 분사하는 외곽 영역을 포함하고,
상기 가스 공급 유닛은
상기 기판 영역으로 공정 가스를 공급하는 제1공급라인; 및
상기 외곽 영역으로 공정 가스를 공급하는 제2공급라인들을 포함하며,
상기 제어부는
기판 상면의 에칭 공정에서는
상기 캐소드 전극부와 상기 애노드 전극부에 안착된 기판 사이의 공간이 제공되도록 상기 승강 유닛을 제어하고, 상기 기판 영역과 상기 외곽 영역 모두에서 공정 가스를 공급하도록 상기 가스 공급 유닛을 제어하고,
기판의 베벨 에지상의 부산물을 제거하는 베벨 공정에서는
상기 캐소드 전극부를 하강시켜 상기 캐소드 전극부와 상기 애노드 전극부에 안착된 기판 사이의 공간이 제거되도록 상기 승강 유닛을 제어하고, 상기 베벨 공정시 상기 외곽 영역으로만 공정가스가 분사되도록 상기 가스 공급 유닛을 제어하는 기판 처리 장치.
A process chamber in which an internal space is formed;
A gas supply unit having a gas supply line for supplying a process gas into the process chamber;
A cathode electrode portion having a shower head to which a first power is applied and in communication with the gas supply unit to supply the process gas into the process chamber;
An anode electrode portion facing the cathode electrode portion, on which a substrate is seated, and to which a second power source is applied;
An elevating unit for elevating the cathode electrode portion for etching the upper surface of the substrate and removing by-products on the bevel edge of the substrate; And
A control unit for controlling the lifting unit;
The shower head
A substrate region for injecting process gas onto the substrate, and an outer region for injecting process gas to the outside of the substrate,
The gas supply unit
A first supply line supplying a process gas to the substrate region; And
Second supply lines supplying a process gas to the outer region,
The control unit
In the etching process of the upper surface of the substrate
Control the lifting unit to provide a space between the cathode electrode portion and the substrate seated on the anode electrode portion, and control the gas supply unit to supply a process gas in both the substrate region and the outer region,
In a bevel process that removes byproducts on the bevel edge of a substrate
The gas supply unit is controlled to lower the cathode electrode part so as to remove a space between the cathode electrode part and the substrate seated on the anode electrode part, and to inject a process gas only into the outer region during the beveling process. Substrate processing apparatus for controlling the.
삭제delete 삭제delete 삭제delete 제 1 항에 있어서,
상기 애노드 전극부는 정전척을 포함하는 기판 처리 장치.
The method of claim 1,
And the anode electrode unit comprises an electrostatic chuck.
기판 상면에 대한 에칭 공정을 진행하는 단계; 및
상기 에칭 단계 후에 상기 기판의 베벨 에지상의 부산물을 제거하는 베벨 공정을 진행하는 단계를 포함하되;
상기 에칭 공정과 상기 베벨 공정은 동일한 공정 챔버 내에서 진행되며,
상기 에칭 단계는
캐소드 전극부와 애노드 전극부에 안착된 기판이 서로 이격된 상태에서 상기 기판 상의 영역과 상기 기판 외곽의 영역 모두에 공정 가스를 공급하면서 진행되고,
상기 베벨 공정은
상기 캐소드 전극부를 하강시켜 상기 캐소드 전극부와 상기 애노드 전극부에 안착된 기판이 이격되지 않은 상태에서 기판 외곽 영역으로만 공정 가스를 공급하면서 진행되는 기판 처리 방법.
Performing an etching process on the upper surface of the substrate; And
After the etching step a process of beveling to remove by-products on the bevel edge of the substrate;
The etching process and the bevel process are performed in the same process chamber,
The etching step
In the state where the substrate seated on the cathode electrode part and the anode electrode part are spaced apart from each other, the process gas is supplied to both the region on the substrate and the region outside the substrate,
The bevel process
And lowering the cathode electrode portion and supplying the process gas only to an outer region of the substrate while the substrate seated on the cathode electrode portion and the anode electrode portion are not separated from each other.
삭제delete 삭제delete
KR1020160083461A 2016-07-01 2016-07-01 Test method and apparatus for treating substrate KR102030470B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020160083461A KR102030470B1 (en) 2016-07-01 2016-07-01 Test method and apparatus for treating substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160083461A KR102030470B1 (en) 2016-07-01 2016-07-01 Test method and apparatus for treating substrate

Publications (2)

Publication Number Publication Date
KR20180003827A KR20180003827A (en) 2018-01-10
KR102030470B1 true KR102030470B1 (en) 2019-10-11

Family

ID=60998794

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160083461A KR102030470B1 (en) 2016-07-01 2016-07-01 Test method and apparatus for treating substrate

Country Status (1)

Country Link
KR (1) KR102030470B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102592414B1 (en) * 2020-11-23 2023-10-20 세메스 주식회사 An unit for controlling an electrode and an apparatus for treating a substrate with the unit

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100532354B1 (en) * 2004-05-31 2005-11-30 삼성전자주식회사 Apparatus for controling etching area and apparatus and method for etching edge of wafer
KR100706666B1 (en) * 2006-05-25 2007-04-13 세메스 주식회사 Apparatus and method for treating substrate, and injection head used in the apparatus
KR101515150B1 (en) * 2008-04-07 2015-04-27 참엔지니어링(주) Apparatus for plasma processing and method for plasma processing

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100646413B1 (en) * 2004-08-12 2006-11-15 세메스 주식회사 Apparatus and method for treating an edge of substrates
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
KR101402235B1 (en) * 2008-04-04 2014-05-30 (주)소슬 Substrate processing appratus and method for treating subtrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100532354B1 (en) * 2004-05-31 2005-11-30 삼성전자주식회사 Apparatus for controling etching area and apparatus and method for etching edge of wafer
KR100706666B1 (en) * 2006-05-25 2007-04-13 세메스 주식회사 Apparatus and method for treating substrate, and injection head used in the apparatus
KR101515150B1 (en) * 2008-04-07 2015-04-27 참엔지니어링(주) Apparatus for plasma processing and method for plasma processing

Also Published As

Publication number Publication date
KR20180003827A (en) 2018-01-10

Similar Documents

Publication Publication Date Title
US9972503B2 (en) Etching method
US9659756B2 (en) Plasma etching apparatus and plasma cleaning method
KR101896491B1 (en) Plasma etching device, and plasma etching method
KR101369131B1 (en) Apparatus and methods to remove films on bevel edge and backside of wafer
US9209034B2 (en) Plasma etching method and plasma etching apparatus
KR101088254B1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
US8864936B2 (en) Apparatus and method for processing substrate
TWI633573B (en) Plasma processing device and method
KR20210042939A (en) Equipment and process for electron beam mediated plasma etching and deposition process
EP2026374A2 (en) Plasma processing apparatus, plasma processing method and storage medium
EP0776032A2 (en) Plasma etching method
US20150235861A1 (en) Plasma etching method and plasma etching apparatus
KR100823302B1 (en) Plasma processing apparatus
JP2879887B2 (en) Plasma processing method
KR100188455B1 (en) Drying etching method
KR20120046072A (en) Showerhead configurations for plasma reactors
KR20140116811A (en) Plasma etching method and plasma etching apparatus
KR102030470B1 (en) Test method and apparatus for treating substrate
KR101362814B1 (en) Method for plasma-treatment
KR101333521B1 (en) Apparatus for plasma treatment
JP3118497B2 (en) Plasma processing apparatus and plasma processing method
JP2008235735A (en) Electrostatic chuck and plasma processing equipment having it
CN111341657A (en) Plasma processing method
TWI776387B (en) Substrate processing apparatus
EP0393637B1 (en) Plasma processing method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right