KR970707571A - 축소 치수용 다마스크 공정(damascene process for reduced feature size) - Google Patents

축소 치수용 다마스크 공정(damascene process for reduced feature size)

Info

Publication number
KR970707571A
KR970707571A KR1019970703177A KR19970703177A KR970707571A KR 970707571 A KR970707571 A KR 970707571A KR 1019970703177 A KR1019970703177 A KR 1019970703177A KR 19970703177 A KR19970703177 A KR 19970703177A KR 970707571 A KR970707571 A KR 970707571A
Authority
KR
South Korea
Prior art keywords
dielectric material
dimension
semiconductor device
dielectric layer
opening
Prior art date
Application number
KR1019970703177A
Other languages
English (en)
Inventor
밍-렌 린
Original Assignee
이시마루 미키오
어드밴스드 마이크로 디바이시즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이시마루 미키오, 어드밴스드 마이크로 디바이시즈, 인코포레이티드 filed Critical 이시마루 미키오
Publication of KR970707571A publication Critical patent/KR970707571A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

초기치수를 가진 하나의 개구를 형성하고, 제2유전물질을 이 개구내에 증착시켜서 초기치수를 축소시킴으로써, 유전층내에 서브미크론의 점점/바이어 및 트렌치를 제공한다.

Description

축소 치수용 다마스크 공정(DAMASCENE PROCESS FOR REDUCED FEATURE SIZE)
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제2도는 본 발명의 실시예에 의하여 형성된 바이어/접점 또는 트렌치를 도시하는 단면도, 제3도는 종래의 이중마스크기술에 의하여 형성된 상호접속을 도시한 개략도, 제4도는 상호접속을 제공하기 위한 본 발명의 또 다른 실시예를 도시한 개략도이다.

Claims (42)

  1. 제1유전물질로 구성되어있고, 상면, 하면 및 상면으로부터 하면까지 제1유전층을 거쳐 뻗어있는 제1개구가 제공되어 있는 제1유전층을 포함하는 반도체소자로서, 제1개구가 제2유전물질로 구성된 한정된 두께를 가진 제1측벽에 의하여 그 범위가 한정되는 제1치수를 가지는 반도체소자.
  2. 제1항에 있어서, 제2유전물질이 제1유전물질과 동일한 반도체 소자.
  3. 제1항에 있어서, 제2유전물질이 제1유전물질과 다른 반도체 소자.
  4. 제1항에 있어서, 제1치수가 약 0.30 미크론 이하인 반도체 소자.
  5. 제4항에 있어서, 제1치수가 약 0.15 내지 0.25 미크론인 반도체 소자.
  6. 제5항에 있어서, 제1치수가 약 0.18 내지 0.20 미크론인 반도체 소자.
  7. 제3항에 있어서, 제1측벽이 두께가 0.05 미크론 보다 더 작지 아니한 반도체 소자.
  8. 제6항에 있어서, 제1측벽이 두께가 0.1 미크론 보다 더 작지 아니한 반도체 소자.
  9. 제3항에 있어서, 제2유전물질이 제1유전물질보다 더 큰 경도 및 밀도를 가지는 반도체 소자.
  10. 제3항에 있어서, 제2유전물질이 제1유전물질보다 습기침투에 대한 더 큰 저항을 가지는 반도체 소자.
  11. 제1항에 있어서, 제2유전물질이 이산화규소, 질화규소 및 산소질화규소로 구성되는 그룹으로부터 선택되는 반도체 소자.
  12. 제11항에 있어서, 제2유전물질에, 증착오르토규산테트라에틸로부터 유도된 이산화규소가 포함되어 있는 반도체소자.
  13. 제1항에 있어서, 제3유전물질로 구성되어 있고, 제1유전층상에 형성되어 있는 제2유전층이 더 포함되어 있고, 이러한 제2유전층에 상면, 제1유전층상의 하면 및 제2유전층의 상면으로부터 하면까지 뻗어 있는 제2유전층을 통하고, 제1개구와 통하여 있으며, 제2유전물질로 구성된 한정된 두께를 가진 측벽에 의하여 그 범위가 한정되는 제2치수를 가지는 제2개구가 포함되어 있는 반도체 소자.
  14. 제13항에 있어서, 제2치수가 제1치수보다 더 작은 반도체 소자.
  15. 제13항에 있어서, 제2치수가 제1치수와 동일한 반도체 소자.
  16. 제13항에 있어서, 제2치수가 제1치수보다도 더 큰 반도체 소자.
  17. 제13항에 있어서, 제2치수가 약 0.30 미크론 이하인 반도체 소자.
  18. 제13항에 있어서, 제2치수가 약 0.15 내지 0.25 미크론인 반도체 소자.
  19. 제13항에 있어서, 제1유전층의 상면과 제2유전층의 하면 사이에 하나의 유저막이 더 포함되어 있고 하나의 개구가 이러한 유전막내에 형성되어 있고, 이 개구를 거쳐 제2개구가 제1개구와 통하여 있는 반도체소자.
  20. 제13항에 있어서, 제1유전물질이 제3유전물질과 동일한 반도체 소자.
  21. 반도체소자를 제조하는 방법으로서, -제1유전물질로 구성되어 있고, 상면과 하면이 제공되어 있는 제1유전층을 형성하는 스텝, -제1유전층을 거쳐 상면으로부터 하면까지 뻗어있고, 제1유전층내의 측면에 의하여 그 범위가 한정되는 제1초기치수를 가지는 제1개구를 형성하는 스텝, -제2유전물질을 제1개구내에 증착하여 제1측벽을 형성함으로써, 제1최종치수가 제1측벽에 의하여 한정되도록, 제1초기치수를 제1초기치수보다 더 작은 제1최종치수로 촉소하는 스텝, 등이 포함되어 있는 방법.
  22. 제21항에 있어서, 제2유전물질이 제1유전물질과 동일한 방법.
  23. 제21항에 있어서, 제2유전물질이 제1유전물질과 다른 방법.
  24. 제23항에 있어서, 제2유전물질의 경도와 밀도가 제1유전물질의 경도 및 밀도보다 더 큰 방법.
  25. 제23항에 있어서, 제2유전물질이 습기침투에 대하여 제1유전물질보다 더 큰 저항을 가지는 방법.
  26. 제21항에 있어서, 제2유전물질을 이산화규소, 질화규소 및 산화질화규소로 구성되는 그룹으로부터 선택하는 방법.
  27. 제26항에 있어서, 제2유전물질에 증착오르토규산테트라에틸로부터 유도된 이산화규소가 포함되어 있는 방법.
  28. 제21항에 있어서, 제2유전물질을 증착한 다음에, 블랫킹 비등방성 에칭이 더 포함되어 있는 방법.
  29. 반도체소자를 제조하는 방법으로서, -제1유전물질로 구성되고, 상면과 하면이 제공되어 있는 제1유전층을 형성하는 스텝, -제3유전물질로 구성되어 있고, 상면과 제1유전층상의 하면이 제공되어 있는 제2유전층을 제1유전층상에 형성하는 스텝, -제1유전층을 거쳐 상면으로부터 하면까지 뻗어있고, 제1유전층내의 측면에 의하여 한정되는 제1초기치수가 제공된 제1개구 및 제2유전층을 거쳐 상면으로부터 제2유전층의 하면까지 뻗어있고 제1개구와 통하여 있고, 제2유전층내에서 측면에 의하여 한정되는 제2초기치수가 제공되어 있는 제2개구를 포함하여, 제1 및 제2유전층을 통하는 개구를 형성하는 스텝, -제2유전물질을 제1 및 제2개구내에 증착시켜 하나의 측벽을 형성함으로써, 제1 및 제2최종치수가 측벽에 의하여 한정되도록, 제1 및 제2초기치수를 제1 및 제2초기치수보다 더 작은 제1 및 제2최종치수로 축소하는 스텝, 등이 포함되어 있는 방법.
  30. 제29항에 있어서, 제1유전물질이 제3유전물질과 동일한 방법.
  31. 제29항에 있어서, 제1유전물질이 제3유전물질과 다른 방법.
  32. 제29항에 있어서, 제2최종치수가 제1최종치수와 동일한 방법.
  33. 제29항에 있어서, 제2최종치수가 제1최종치수보다 더 작은 방법.
  34. 제29항에 있어서, 제2최종치수가 제1최종치수보다 더 큰 방법.
  35. 제29항에 있어서, 제2최종치수가 약 0.30 미크론보다 더 작은 방법.
  36. 제29항에 있어서, 제2최종치수가 약 0.15 내지 0.20 미크론인 방법.
  37. 제29항에 있어서, 제2유전층을 증착하기 전에, 하나의 유전막을 제1유전층의 상면상에 증착하는 스텝이 더 포함되어 있는 방법.
  38. 제29항에 있어서, 개구를 이중다마스크기술에 의하여 형성하는 스텝이 포함되어 있는 방법.
  39. 제29항에 있어서, 제1개구와 제2개구를 동시에 형성한 스텝이 포함되어 있는 방법.
  40. 제29항에 있어서, 제2개구를 형성하기 전에, 제1개구를 형성하는 스텝이 포함되어 있는 방법.
  41. 제29항에 있어서, 제1개구를 형성하기 전에, 제2개구를 형성하는 스텝이 포함되어 있는 방법.
  42. 제29항에 있어서, 제2유전층을 증착한 다음에, 블랭킷 비등방성 에칭을 행하는 스텝이 더 포함되어 있는 방법.
    ※ 참고사항:최초출원 내용에 의하여 공개하는 것임.
KR1019970703177A 1995-09-14 1996-08-08 축소 치수용 다마스크 공정(damascene process for reduced feature size) KR970707571A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US52827995A 1995-09-14 1995-09-14
US08/528,279 1995-09-14

Publications (1)

Publication Number Publication Date
KR970707571A true KR970707571A (ko) 1997-12-01

Family

ID=24105001

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970703177A KR970707571A (ko) 1995-09-14 1996-08-08 축소 치수용 다마스크 공정(damascene process for reduced feature size)

Country Status (5)

Country Link
US (2) US5753967A (ko)
EP (1) EP0792513A1 (ko)
JP (1) JPH10509285A (ko)
KR (1) KR970707571A (ko)
WO (1) WO1997010612A1 (ko)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5942802A (en) 1995-10-09 1999-08-24 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of producing the same
JPH09116009A (ja) * 1995-10-23 1997-05-02 Sony Corp 接続孔の形成方法
US6294799B1 (en) * 1995-11-27 2001-09-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating same
DE69734871D1 (de) * 1997-05-30 2006-01-19 St Microelectronics Srl Verfahren zur Herstellung eines Germanium-implantierten bipolaren Heteroübergangtransistors
US6437441B1 (en) * 1997-07-10 2002-08-20 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6080655A (en) * 1997-08-21 2000-06-27 Micron Technology, Inc. Method for fabricating conductive components in microelectronic devices and substrate structures thereof
TW377501B (en) * 1997-09-08 1999-12-21 United Microelectronics Corp Method of dual damascene
JPH11176773A (ja) * 1997-12-12 1999-07-02 Toshiba Corp 研磨方法
US6121126A (en) * 1998-02-25 2000-09-19 Micron Technologies, Inc. Methods and structures for metal interconnections in integrated circuits
US6143655A (en) 1998-02-25 2000-11-07 Micron Technology, Inc. Methods and structures for silver interconnections in integrated circuits
US6492694B2 (en) 1998-02-27 2002-12-10 Micron Technology, Inc. Highly conductive composite polysilicon gate for CMOS integrated circuits
US6815303B2 (en) * 1998-04-29 2004-11-09 Micron Technology, Inc. Bipolar transistors with low-resistance emitter contacts
TW383463B (en) 1998-06-01 2000-03-01 United Microelectronics Corp Manufacturing method for dual damascene structure
US6680248B2 (en) 1998-06-01 2004-01-20 United Microelectronics Corporation Method of forming dual damascene structure
TW408443B (en) * 1998-06-08 2000-10-11 United Microelectronics Corp The manufacture method of dual damascene
US6037262A (en) * 1998-06-15 2000-03-14 Lsi Logic Corporation Process for forming vias, and trenches for metal lines, in multiple dielectric layers of integrated circuit structure
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6060380A (en) * 1998-11-06 2000-05-09 Advanced Micro Devices, Inc. Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
US6093632A (en) * 1998-12-07 2000-07-25 Industrial Technology Research Institute Modified dual damascene process
US6720660B1 (en) * 1998-12-22 2004-04-13 Seiko Epson Corporation Semiconductor device and method for manufacturing the same
US6181011B1 (en) 1998-12-29 2001-01-30 Kawasaki Steel Corporation Method of controlling critical dimension of features in integrated circuits (ICS), ICS formed by the method, and systems utilizing same
US6417094B1 (en) * 1998-12-31 2002-07-09 Newport Fab, Llc Dual-damascene interconnect structures and methods of fabricating same
US6291887B1 (en) * 1999-01-04 2001-09-18 Advanced Micro Devices, Inc. Dual damascene arrangements for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6187663B1 (en) 1999-01-19 2001-02-13 Taiwan Semiconductor Manufacturing Company Method of optimizing device performance via use of copper damascene structures, and HSQ/FSG, hybrid low dielectric constant materials
US6380091B1 (en) * 1999-01-27 2002-04-30 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with oxide dielectric layer and low K dielectric constant layer
US6329280B1 (en) 1999-05-13 2001-12-11 International Business Machines Corporation Interim oxidation of silsesquioxane dielectric for dual damascene process
US6211069B1 (en) * 1999-05-17 2001-04-03 Taiwan Semiconductor Manufacturing Company Dual damascene process flow for a deep sub-micron technology
US6228691B1 (en) * 1999-06-30 2001-05-08 Intel Corp. Silicon-on-insulator devices and method for producing the same
US6140220A (en) 1999-07-08 2000-10-31 Industrial Technology Institute Reseach Dual damascene process and structure with dielectric barrier layer
US6133144A (en) * 1999-08-06 2000-10-17 Taiwan Semiconductor Manufacturing Company Self aligned dual damascene process and structure with low parasitic capacitance
US6391761B1 (en) 1999-09-20 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to form dual damascene structures using a linear passivation
US6348736B1 (en) 1999-10-29 2002-02-19 International Business Machines Corporation In situ formation of protective layer on silsesquioxane dielectric for dual damascene process
US6245683B1 (en) 1999-12-28 2001-06-12 Taiwan Semiconductor Manufacturing Company Stress relieve pattern for damascene process
US6274483B1 (en) 2000-01-18 2001-08-14 Taiwan Semiconductor Manufacturing Company Method to improve metal line adhesion by trench corner shape modification
US20010051420A1 (en) * 2000-01-19 2001-12-13 Besser Paul R. Dielectric formation to seal porosity of low dielectic constant (low k) materials after etch
US8232582B2 (en) 2000-04-24 2012-07-31 Life Technologies Corporation Ultra-fast nucleic acid sequencing device and a method for making and using the same
US7001792B2 (en) * 2000-04-24 2006-02-21 Eagle Research & Development, Llc Ultra-fast nucleic acid sequencing device and a method for making and using the same
US6413792B1 (en) 2000-04-24 2002-07-02 Eagle Research Development, Llc Ultra-fast nucleic acid sequencing device and a method for making and using the same
TW451417B (en) * 2000-08-07 2001-08-21 United Microelectronics Corp Manufacturing method for dual damascene structure
US6436810B1 (en) 2000-09-27 2002-08-20 Institute Of Microelectronics Bi-layer resist process for dual damascene
DE10055290C1 (de) 2000-11-08 2002-07-25 Infineon Technologies Ag Herstellungsverfahren für eine integrierte Schaltung
US20020127855A1 (en) * 2001-01-04 2002-09-12 Sauer Jon Robert Method for fabricating a pattern in a mask on a surface of an object and product manufactured thereby
WO2002071476A2 (en) * 2001-03-06 2002-09-12 Advanced Micro Devices, Inc. Method of forming conductive interconnections in porous insulating films and associated device
US6682943B2 (en) * 2001-04-27 2004-01-27 Micron Technology, Inc. Method for forming minimally spaced MRAM structures
TW517339B (en) * 2001-07-25 2003-01-11 Promos Technologies Inc Method of preventing short circuit between contact window and metal line
US6518171B1 (en) * 2001-09-27 2003-02-11 Intel Corporation Dual damascene process using a low k interlayer for forming vias and trenches
KR20030054783A (ko) * 2001-12-26 2003-07-02 동부전자 주식회사 반도체 소자의 제조방법
KR100428791B1 (ko) * 2002-04-17 2004-04-28 삼성전자주식회사 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법
US7102167B1 (en) * 2002-04-29 2006-09-05 Micrel, Inc. Method and system for providing a CMOS output stage utilizing a buried power buss
KR100454130B1 (ko) * 2002-05-28 2004-10-26 삼성전자주식회사 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법
US6806126B1 (en) * 2002-09-06 2004-10-19 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor component
US7138329B2 (en) * 2002-11-15 2006-11-21 United Microelectronics Corporation Air gap for tungsten/aluminum plug applications
US7449407B2 (en) * 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
US6917109B2 (en) * 2002-11-15 2005-07-12 United Micorelectronics, Corp. Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
DE102007019761A1 (de) * 2007-04-19 2008-10-23 Qimonda Ag Verfahren zur Herstellung eines Kontaktelementes, eine Sturktur in einem Halbleiterbauelement, eine integrierte Schaltung und ein Halbleiterbauelement
KR100950553B1 (ko) * 2007-08-31 2010-03-30 주식회사 하이닉스반도체 반도체 소자의 콘택 형성 방법
JP5663959B2 (ja) * 2010-05-28 2015-02-04 Jsr株式会社 絶縁パターン形成方法及びダマシンプロセス用絶縁パターン形成材料
CN102468223A (zh) * 2010-11-16 2012-05-23 中芯国际集成电路制造(上海)有限公司 接触孔的形成方法
US9779935B1 (en) 2016-04-05 2017-10-03 Infineon Technologies Austria Ag Semiconductor substrate with stress relief regions

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4641420A (en) * 1984-08-30 1987-02-10 At&T Bell Laboratories Metalization process for headless contact using deposited smoothing material
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
EP0257948A3 (en) * 1986-08-25 1988-09-28 AT&T Corp. Conductive via plug for cmos devices
US4707218A (en) * 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPS63253647A (ja) * 1987-04-10 1988-10-20 Nec Corp 半導体装置
JPH01150342A (ja) * 1987-12-07 1989-06-13 Nec Corp 多層配線構造体及びその製造方法
JPH03173174A (ja) * 1989-11-30 1991-07-26 Toshiba Corp 半導体記憶装置
JPH03198327A (ja) * 1989-12-26 1991-08-29 Fujitsu Ltd 半導体装置の製造方法
JPH04211148A (ja) * 1990-01-05 1992-08-03 Fujitsu Ltd 半導体装置の製造方法
JPH0435048A (ja) * 1990-05-31 1992-02-05 Kawasaki Steel Corp 半導体装置の多層配線形成方法
US5117273A (en) * 1990-11-16 1992-05-26 Sgs-Thomson Microelectronics, Inc. Contact for integrated circuits
KR920015542A (ko) * 1991-01-14 1992-08-27 김광호 반도체장치의 다층배선형성법
JPH04326553A (ja) * 1991-04-26 1992-11-16 Nec Corp 半導体装置の製造方法
JPH04352455A (ja) * 1991-05-30 1992-12-07 Oki Electric Ind Co Ltd 半導体素子の製造方法
JPH0629406A (ja) * 1991-11-18 1994-02-04 Sony Corp コンタクト構造及びそのコンタクト形成方法
US5262354A (en) * 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5342808A (en) * 1992-03-12 1994-08-30 Hewlett-Packard Company Aperture size control for etched vias and metal contacts
JPH06326099A (ja) * 1993-05-13 1994-11-25 Sony Corp 半導体装置の配線形成方法
EP0655773A1 (en) * 1993-10-27 1995-05-31 STMicroelectronics S.r.l. Lithographic image size reduction
US5602423A (en) * 1994-11-01 1997-02-11 Texas Instruments Incorporated Damascene conductors with embedded pillars

Also Published As

Publication number Publication date
JPH10509285A (ja) 1998-09-08
EP0792513A1 (en) 1997-09-03
US6077773A (en) 2000-06-20
WO1997010612A1 (en) 1997-03-20
US5753967A (en) 1998-05-19

Similar Documents

Publication Publication Date Title
KR970707571A (ko) 축소 치수용 다마스크 공정(damascene process for reduced feature size)
US6531783B1 (en) Method of via formation for multilevel interconnect integrated circuits
US6051508A (en) Manufacturing method of semiconductor device
US6150212A (en) Shallow trench isolation method utilizing combination of spacer and fill
US6184127B1 (en) Semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass, and a semiconductor structure
JP2000188330A (ja) デュアルダマシン配線の形成方法
US7595252B2 (en) Method of manufacturing a semiconductor memory device
EP0926717A3 (en) Polysilicon capacitor electrode
US6303465B1 (en) Method of forming low leakage current borderless contact
KR100425064B1 (ko) 반도체장치 및 그 제조방법
JPH10189592A (ja) 半導体装置の製造方法
JP3351177B2 (ja) 接続孔を形成する工程を有する配線構造の形成方法
US20040256651A1 (en) Extendible process for improved top oxide layer for DRAM array and the gate interconnects while providing self-aligned gate contacts
JPH08330410A (ja) 素子分離方法、素子分離構造、及び半導体装置
US6680537B1 (en) Semiconductor device having a dual damascene interconnect structure and method for manufacturing same
US6214659B1 (en) Method to crown capacitor for high density DRAM
KR100596876B1 (ko) 반도체 소자의 소자 분리막 형성 방법
JP2004186228A (ja) 半導体装置の製造方法
KR100509811B1 (ko) Sti 제조 방법
JP2000228502A (ja) Cob構造のdram及びその製造方法
JP2002134719A (ja) 半導体装置の製造方法
JPH06232248A (ja) 半導体装置の製造方法
JPH10242260A (ja) 半導体装置のための素子分離領域およびその形成方法
US20070015364A1 (en) Method for avoiding exposure of void caused by dielectric gap-filling, and fabricating process and structure of dielectric film
KR970052426A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20031121

Effective date: 20050929

J2X1 Appeal (before the patent court)

Free format text: APPEAL AGAINST DECISION TO DECLINE REFUSAL

J302 Written judgement (patent court)

Free format text: JUDGMENT (PATENT COURT) FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20051107

Effective date: 20061214