JP2000188330A - デュアルダマシン配線の形成方法 - Google Patents

デュアルダマシン配線の形成方法

Info

Publication number
JP2000188330A
JP2000188330A JP10363555A JP36355598A JP2000188330A JP 2000188330 A JP2000188330 A JP 2000188330A JP 10363555 A JP10363555 A JP 10363555A JP 36355598 A JP36355598 A JP 36355598A JP 2000188330 A JP2000188330 A JP 2000188330A
Authority
JP
Japan
Prior art keywords
film
interlayer insulating
insulating film
forming
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10363555A
Other languages
English (en)
Other versions
JP3214475B2 (ja
Inventor
Masayoshi Ikeda
真義 池田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP36355598A priority Critical patent/JP3214475B2/ja
Priority to GB9930205A priority patent/GB2345189A/en
Priority to CN 99126604 priority patent/CN1258097A/zh
Priority to KR1019990059830A priority patent/KR20000048294A/ko
Publication of JP2000188330A publication Critical patent/JP2000188330A/ja
Application granted granted Critical
Publication of JP3214475B2 publication Critical patent/JP3214475B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 エッチング工程において層間絶縁膜のエッチ
ング残りに起因して配線内に異物が残存することがな
く、配線不良を生じることがないデュアルダマシン配線
の形成方法を提供する。 【解決手段】 下層導体層21上に層間絶縁膜22を形
成し、この層間絶縁膜22上にビアホールパターン31
の開口を有するレジスト膜23を形成する。そして、こ
のレジスト膜23をマスクとして層間絶縁膜22をエッ
チングしてビアホール32を形成し、ホール32内を層
間絶縁膜よりもエッチング速度が速い材料で埋め込むこ
とにより埋込膜24を形成する。その後、埋込膜22上
に配線溝パターン33の開口を有するレジスト膜25を
形成し、このレジスト膜25をマスクとして埋込膜24
及び層間絶縁膜22をエッチングして層間絶縁膜22に
配線溝34を形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は多層配線技術等に使
用されるデュアルダマシン配線の形成方法に関する。
【0002】
【従来の技術】半導体集積回路装置の多層配線を形成す
る方法として埋め込み配線(Damascene)技術が有効で
ある。その中でも、上層の配線が形成される配線溝と、
前記上層配線と下層配線又は基板とを接続するビアホー
ル又はコンタクトホール(以下、両者を含めてビアホー
ルという)とを絶縁膜に形成した後、前記配線溝とビア
ホールとに同時に金属膜を埋め込んで配線とビアとを同
時に形成するデュアルダマシン技術は、製造工程の簡略
化及びTAT(Turn-and-Around-Time)の迅速化によ
り、製造コストを著しく低減することができるという利
点がある。
【0003】図15はこの従来のデュアルダマシン技術
による配線形成方法を工程順に示す断面図である。先
ず、図15(a)に示すように、シリコン基板1上に層
間絶縁膜としてシリコン酸化(SiO2)膜2を形成
し、更にその上にシリコン窒化(SiN)膜3を形成
し、このシリコン窒化膜3上にレジスト膜4を形成し、
このレジスト膜4をフォトリソグラフィによりビアホー
ルのパターンにパターニングする。その後、このレジス
ト膜4をマスクとしてシリコン窒化膜3をプラズマエッ
チングし、シリコン窒化膜3にビアホールパターン5を
形成する。
【0004】その後、図15(b)に示すように、全面
にシリコン酸化膜6を形成する。次いで、このシリコン
酸化膜6の表面をCMP(Chemical mechanical Polishi
ng;化学的機械的研磨)して平坦化する。
【0005】次いで、図15(c)に示すように、シリ
コン酸化膜6上にレジスト膜7を形成し、このレジスト
膜7を配線溝のパターン9にパターニングする。その
後、レジスト膜7及びシリコン窒化膜3をマスクとして
エッチングすることにより、シリコン酸化膜2にビアホ
ールを開口し、シリコン酸化膜6に配線溝を形成する。
この場合に、シリコン酸化膜とシリコン窒化膜とのエッ
チング選択比(シリコン酸化膜のエッチング速度/シリ
コン窒化膜のエッチング速度)が高いエッチング方法で
エッチングすることにより、ビアホールの開口が終了す
るまで、シリコン窒化膜3はマスクとして残存する。
【0006】その後、レジスト膜7を除去し、ビアホー
ル及び配線溝に導電材料を埋め込み、ビア及び配線を形
成する。
【0007】この従来のデュアルダマシン配線の形成方
法においては、図15(c)に示すように、ビアホール
パターン5と配線溝パターン9との間にずれが生じた場
合、配線とビアとの間の接触面積が小さくなり、接触抵
抗が高くなるという難点がある。また、ビアホールのア
スペクト比が高くなると、即ちビアホールの幅に対する
深さの比が大きくなると、ストッパとなるシリコン窒化
膜3に対するシリコン酸化膜2のエッチング選択比を極
めて高くする必要があるが、そのようなエッチング条件
を実施することは工程上困難である。更に、図15
(c)に示すように、エッチング後のビアホールの上縁
部が大きくエッチングされてしまい、所謂肩落ちが生じ
る。
【0008】このような図15に示す従来技術の欠点を
解消した従来のデュアルダマシン配線の形成方法として
図16に示すものがある(特開平8−335634号公
報及び特開平10−223755号公報)。図16
(a)乃至(d)はこの従来の他のデュアルダマシン配
線の形成方法を工程順に示す断面図である。図16
(a)に示すように、シリコン基板11上にシリコン酸
化膜12を形成し、このシリコン酸化膜12をフォトリ
ソグラフィによりエッチングしてビアホール13を形成
する。
【0009】次いで、図16(b)に示すように、全面
に有機化合物を塗布してビアホール13を有機化合物膜
14で埋め込むと共に、シリコン酸化膜12及び有機化
合物膜14の上にレジスト膜15を形成し、このレジス
ト膜15をフォトリソグラフィにより配線溝のパターン
16にパターニングする。この有機化合物は層間絶縁膜
であるシリコン酸化膜に対するエッチング選択比が1/
2以下である。
【0010】次いで、図16(c)に示すように、この
レジスト膜15の配線パターンをマスクとして、有機化
合物膜14及びシリコン酸化膜12をプラズマエッチン
グすることにより、配線溝16を形成する。この場合
に、ビアホール13内に埋め込まれた有機化合物膜14
よりもシリコン酸化膜12の方がエッチング選択比が高
いので、配線溝16をエッチングしている間、有機化合
物膜14はビアホール13内にとどまる。
【0011】その後、レジスト膜15及び有機化合物膜
14を除去することにより、配線溝16と共に、ビアホ
ール13が形成される。そして、これらの配線溝16及
びビアホール13を導電材料で埋め込むことにより、配
線及びビアが形成される。
【0012】このように構成された従来方法において
は、図16(c)に示すように、ビアホールと配線溝と
の間にずれが生じても、図16(d)に示すように、ビ
アホール13に埋め込まれたビアと配線溝16埋め込ま
れた配線との間の接触面積は小さくならない。このた
め、両者の接触抵抗は十分に低いものである。また、図
15に示す従来技術においては、ビアホールのアスペク
ト比が大きくなると、シリコン窒化膜3に対するシリコ
ン酸化膜のエッチング選択比を極めて高くする必要があ
ったが、図16に示す従来技術においては、そのような
エッチング条件をとる必要がない。
【0013】
【発明が解決しようとする課題】しかしながら、この従
来のデュアルダマシンの形成方法においては、以下に示
す問題点がある。即ち、図16(a)に示すように、ビ
アホール13をエッチングにより形成する際、エッチン
グの特性上、ビアホール13は上部開口部の方が横断面
積が広く、底部の方が横断面積が狭くなる。このため、
ビアホール13の側面は上方が後方に反るように若干傾
斜している。
【0014】このように、ビアホール13の側面が傾斜
しているため、図16(c)の工程で、エッチングによ
り配線溝16を形成しようとすると、有機化合物膜14
のエッチング選択比がシリコン酸化膜12のエッチング
選択比よりも小さいために、この有機化合物膜14の上
端部の側縁に張り出している部分がシリコン酸化膜12
に対するエッチングマスクとなり、その直下に、シリコ
ン酸化膜12のエッチング残りが生じる。このため、図
16(d)に示すように、有機化合物膜14を除去した
後も、ビアホール13の上端部にシリコン酸化膜からな
る突起物17が残存する。この突起物17が配線内に混
在し、配線の導電不良等を生じさせる。
【0015】本発明はかかる問題点に鑑みてなされたも
のであって、エッチング工程において層間絶縁膜のエッ
チング残りに起因して配線内に異物が残存することがな
く、配線不良を生じることがないデュアルダマシン配線
の形成方法を提供することを目的とする。
【0016】
【課題を解決するための手段】本願第1発明に係るデュ
アルダマシン配線の形成方法は、下層導体層上に層間絶
縁膜を形成する工程と、この層間絶縁膜上にホールパタ
ーンの開口を有する第1レジスト膜を形成する工程と、
前記第1レジスト膜をマスクとして前記層間絶縁膜をエ
ッチングしてホールを形成する工程と、前記ホールを前
記層間絶縁膜よりもエッチング速度が速い材料で埋め込
むことにより埋込膜を形成する工程と、前記埋込膜上に
配線溝パターンの開口を有する第2レジスト膜を形成す
る工程と、この第2レジスト膜をマスクとして前記埋込
膜及び層間絶縁膜をエッチングして前記層間絶縁膜に配
線溝を形成する工程と、を有することを特徴とする。
【0017】本願第2発明に係るデュアルダマシン配線
の形成方法は、下層導体層上に層間絶縁膜を形成する工
程と、この層間絶縁膜上に配線溝パターンの開口を有す
る第1レジスト膜を形成する工程と、前記第1レジスト
膜をマスクとして前記層間絶縁膜をエッチングして配線
溝を形成する工程と、前記配線溝を前記層間絶縁膜より
もエッチング速度が速い材料で埋め込むことにより埋込
膜を形成する工程と、前記埋込膜上にホールパターンの
開口を有する第2レジスト膜を形成する工程と、この第
2レジスト膜をマスクとして前記埋込膜及び層間絶縁膜
をエッチングして前記層間絶縁膜にホールを形成する工
程と、を有することを特徴とする。
【0018】本願第3発明に係るデュアルダマシン配線
の形成方法は、下層導体層上に第1層間絶縁膜を形成す
る工程と、この第1層間絶縁膜上にホールパターンの開
口を有する第1レジスト膜を形成する工程と、前記第1
レジスト膜をマスクとして前記第1層間絶縁膜をエッチ
ングしてホールを形成する工程と、前記ホールを前記第
1層間絶縁膜よりもエッチング速度が速い材料で埋め込
むことにより埋込膜を形成する工程と、全面に第2層間
絶縁膜を形成する工程と、前記第2層間絶縁膜上に配線
溝パターンの開口を有する第2レジスト膜を形成する工
程と、前記第2レジスト膜をマスクとして前記第2層間
絶縁膜をエッチングすることにより配線溝を形成する工
程と、を有することを特徴とする。
【0019】本願第1発明及び第3発明においては、ホ
ール内に埋込膜を埋め込んだ後、配線溝形成のためのエ
ッチングを行うが、前記埋込膜は前記層間絶縁膜よりも
エッチング選択比が高い材料を使用し、前記埋込膜のエ
ッチング速度は前記層間絶縁膜のエッチング速度よりも
速いので、配線溝形成後、ホール内に残存する埋込膜の
表面はホールの上端縁よりも低い。このため、配線溝形
成のための層間絶縁膜のエッチング工程において、前記
埋込膜がエッチングマスクとなって層間絶縁膜のエッチ
ング残りが生じるということがなく、従来の突起物の残
存を回避することができる。
【0020】本願第2発明においても、層間絶縁膜に配
線溝を形成した後、この配線溝を前記層間絶縁膜よりエ
ッチング速度が速い埋込膜で埋め込み、その後ホール形
成のためのエッチングを行うから、ホール側面の層間絶
縁膜にはエッチング残りが生じない。
【0021】
【発明の実施の形態】以下、本発明の実施例について添
付の図面を参照して具体的に説明する。図1(a)乃至
(c)及び図2(a)乃至(c)は本発明の実施例に係
るデュアルダマシン配線の形成方法を工程順に示す断面
図である。図1(a)に示すように、導体層21上に、
シリコン酸化膜等の層間絶縁膜22を形成し、この層間
絶縁膜22上にレジスト膜23を塗布した後、レジスト
膜23を露光現像することにより、ビアホール形成用の
開口パターン31を形成する。導体層21は、多層配線
構造の下層配線又は半導体素子が形成された基板であ
る。層間絶縁膜22の厚さは、配線溝とビアホール(以
下、コンタクトホールも含めてビアホールという)とを
形成するのに十分な厚さを有する。レジスト膜23はビ
アホール形成用の感光性有機膜である。なお、導体層2
1が多層配線の下層配線である場合は、その上の層間絶
縁膜に形成されるホールはスルーホールといわれ、導体
層21が半導体基板である場合は、その上の層間絶縁膜
に形成されるホールはコンタクトホールといわれるが、
本発明においては、両者を含めてビアホールという。
【0022】そして、レジスト膜23をマスクとして層
間絶縁膜22をエッチングすることにより、層間絶縁膜
22にビアホール32を形成する。このとき、層間絶縁
膜22はビアホール32内に若干残存している。
【0023】次いで、図1(b)に示すように、レジス
ト膜23を除去し、ビアホール32に層間絶縁膜22と
は異なる材料を埋め込み、埋込膜24を形成する。この
埋込膜24は、例えば、Si34、SiON(SixO
yNz)、酸化膜(SiO2)、SiOF(SixOy
Fz)等の無機膜、又は、ポリシリコン、有機系酸化物
(塗布膜)、無機系酸化物(塗布膜)等がある。これら
の材料はシリコン酸化物等の層間絶縁膜22を構成する
材料よりもエッチング速度が速く、層間絶縁膜22と埋
込膜24とのエッチング選択比が1未満である。通常の
無機材料は酸化物よりもエッチング速度が高いので、こ
の埋込膜24としては種々の材料を使用することができ
る。この埋込膜24はCVD(化学的気相成長法)又は
塗布等により形成することができる。例えば、この埋込
膜24はCVDSi34膜である。
【0024】次いで、この埋込膜24の上に感光性有機
膜からなるレジスト膜25を塗布し、このレジスト膜2
5をフォトリソグラフィによりパターニングして、配線
溝のパターン33を形成する。層間絶縁膜(シリコン酸
化膜)22とレジスト膜(感光性有機膜)25とのエッ
チング選択比は例えば5以上である。
【0025】その後、図1(c)に示すように、レジス
ト膜25をマスクとして埋込膜24及び層間絶縁膜22
をエッチングし、配線溝34を形成する。この場合に、
前述の如く、層間絶縁膜22はレジスト膜25よりもエ
ッチング速度が速い(エッチング速度比が5以上)の
で、層間絶縁膜22はレジスト膜25をマスクとして配
線溝形状にエッチングされると共に、層間絶縁膜22と
埋込膜24とのエッチング選択比が1未満であり、埋込
膜24は層間絶縁膜22よりもエッチング速度が速いた
め、埋込膜24のエッチングが層間絶縁膜22よりも進
む。このため、図1(c)に示すように、配線溝34の
エッチングが終了した時点でビアホール32内の埋込膜
24の上面はビアホール32の上端縁よりも下方に位置
する。エッチング方法としては、例えば、CHF3
ス、O2ガス及びArガスの混合ガスを使用したプラズ
マエッチングを使用することができる。この場合の層間
絶縁膜と埋込膜とのエッチング選択比は1以下となる。
【0026】次いで、図2(a)に示すように、層間絶
縁膜22が殆ど削れないようにして配線溝形成用感光性
有機膜であるレジスト膜25と埋込膜24を除去する。
この埋込膜24の除去には、Cl2ガス及びHBrガス
の混合ガスを使用したプラズマエッチングを使用するこ
とができ、この場合の埋込膜と層間絶縁膜とのエッチン
グ選択比は10以上である。また、埋込膜24の除去に
は、ウエットエッチング、等方性ドライエッチング及び
異方性ドライエッチング等のいずれを使用してもよい。
この場合に、等方性ドライエッチングのプロセスガスに
xyzガス、O2ガス、Cl2ガス、HBrガス、S
6ガス等を使用することができる。また、異方性ドラ
イエッチングのプロセスガスも、Cxyzガス、O2
ス、Cl 2ガス、HBrガス、SF6ガス等を使用するこ
とができる。
【0027】また、この埋込膜24の除去と同時又は埋
込膜24の除去後に、ビアホール23の底部に残存して
いる層間絶縁膜22を除去する。これにより、ビアホー
ル32と配線溝34が形成される。
【0028】その後、図2(b)に示すように、このビ
アホール32及び配線溝34内に配線材料26(導電材
料)を埋込む。
【0029】次いで、図2(c)に示すように、配線材
料26の表面をCMPにより研磨し、層間絶縁膜22の
表面を露出させる。これにより、ビアホール32内にビ
ア35が形成され、配線溝34内に配線36が形成され
る。
【0030】上述の如く構成された本実施例方法におい
ては、図1(a)に示す工程で形成されたビアホール3
2の壁面が上方の開口幅が広がるように傾斜していたと
しても、図1(c)に示すように、配線溝34の形成工
程で埋込膜24の方が層間絶縁膜22よりも速くエッチ
ングされるので、埋込膜24が層間絶縁膜22のエッチ
ングに際してそのエッチングを阻止するマスクとはなら
ない。このため、層間絶縁膜のエッチング残りが発生せ
ず、従来のように突起物が配線中に混在してしまうこと
がない。
【0031】また、本実施例においては、図1(a)に
示すように、ビアホール32を形成するエッチング工程
において、このエッチングを途中で停止して、ビアホー
ル32の底部に層間絶縁膜22を若干残存させているの
で、層間絶縁膜22の大部分のエッチング及びビアホー
ル32に残存した埋込膜24のエッチングには高エネル
ギのドライエッチングを使用して処理時間を短くし、後
で、図2(a)に示す工程でビアホール32内の薄い層
間絶縁膜22を除去する場合にウエットエッチング又は
弱いエネルギのエッチング方法を使用することにより、
導体層21が基板である場合の拡散層のダメージ等を防
止することができる。
【0032】次に、本発明の第2実施例方法について図
3(a)乃至(c)を参照して説明する。先ず、図3
(a)に示すように、シリコン基板21上にエッチング
選択比が埋込材料のそれよりも小さい絶縁材料からなる
第1層間絶縁膜22aを形成し、この第1層間絶縁膜2
2a上にエッチング選択比が埋込材料のそれより大きな
絶縁材料からなる第2層間絶縁膜22bを形成する。こ
のように、本実施例においては、層間絶縁膜は異なる材
料を積層したものである。そして、第2層間絶縁膜22
b上にレジスト膜23を塗布し、これをビアホールのパ
ターン31にパターニングする。次いで、レジスト膜2
3をマスクとして第1及び第2層間絶縁膜221,22
bをエッチングし、ビアホール32を形成する。
【0033】次いで、図3(b)に示すように、レジス
ト膜23を除去した後、ビアホール32に層間絶縁膜と
は異なる材料を埋め込み、埋込膜24を形成する。その
後、この埋込膜24上にレジスト膜25を形成し、この
レジスト膜25に配線溝パターン33を形成する。
【0034】その後、図3(c)に示すように、レジス
ト膜25をマスクとして埋込膜24及び第2層間絶縁膜
22bをエッチングする。この場合のエッチング条件は
第2層間絶縁膜22bのエッチング選択比が埋込膜24
のエッチング選択比よりも大きくなり、第1層間絶縁膜
22aのエッチング選択比が埋込膜24のエッチング選
択比よりも小さくなるものである。従って、このエッチ
ング工程において、第2層間絶縁膜22b上の埋込膜2
4の部分がエッチングされた後、第2層間絶縁膜22b
の部分ではレジスト膜25の配線溝パターン33に基づ
いて第2層間絶縁膜22b及び埋込膜24がエッチング
除去される。その後、エッチングは第1層間絶縁膜22
aに至り、第1層間絶縁膜22aでは埋込膜24の方が
エッチング選択比が大きいので、第1層間絶縁膜22a
は殆どエッチングされず、ビアホール32内の埋込膜2
4が優先的にエッチングされる。このビアホール32内
の埋込膜24が若干エッチングされた時点で、エッチン
グを停止する。
【0035】以後の工程は、図2(a)乃至(c)に示
す第1実施例と同様である。即ち、レジスト膜25及び
埋込膜24を除去することにより、配線溝34及びビア
ホール32が形成される。その後、導電材料をこれらの
配線溝34及びビアホール32に埋め込み、導電材料の
表面をCMP研磨して図2(c)と同様の配線及びビア
ホールが形成される。
【0036】本実施例においては、層間絶縁膜としてエ
ッチング選択比が相違する材料からなる積層膜を使用
し、エッチングが第2層間絶縁膜から第2層間絶縁膜に
移行する際に、エッチング選択比が変動することを基準
にしてエッチングを停止することにより、配線溝とビア
ホールとを明確に区別することができる。このため、本
実施例は、配線溝の深さ及びビアホールの深さを第1層
間絶縁膜22a及び第2層間絶縁膜22bの厚さにより
制御することができ、第1実施例のように、エッチング
時間等により配線溝及びビアホールの深さを制御する場
合に比して、高精度でこれらの配線溝深さ等を制御する
ことができる。
【0037】次に、図4(a)乃至(c)及び図5
(a)乃至(c)を参照して本発明の第3実施例につい
て説明する。本実施例においては、図4(a)に示すよ
うに、シリコン基板21上に層間絶縁膜22とは異なる
材料をエッチングストッパ層27として形成し、このエ
ッチングストッパ層27上に層間絶縁膜及びレジスト膜
23を形成する。その後、レジスト膜23にビアホール
パターン31を形成し、このレジスト膜23をマスクと
して層間絶縁膜22をエッチングする。この場合に、エ
ッチングは層間絶縁膜22の下層のストッパ層27で停
止される。これにより、ビアホール32が形成され、導
体層21の表面がエッチングにより損傷することはな
い。
【0038】次いで、図4(b)に示すように、ビアホ
ール32内に層間絶縁膜とは異なる材料を埋め込み、埋
込膜24を形成した後、図4(c)に示すように、配線
溝パターン33が形成されたレジスト膜25をマスクと
して埋込膜24及び層間絶縁膜22を所定の深さだけエ
ッチングする。これにより、配線溝34が形成される。
【0039】次いで、図5(a)に示すように、レジス
ト膜25及び埋込膜24を除去すると共に、埋込膜24
の除去と同時又はその後に、ビアホール32内のエッチ
ングストッパ層27を除去する。
【0040】その後、図5(b)に示すように、ビアホ
ール32及び配線溝34に配線材料26を埋め込み、配
線材料26の表面をCMP研磨して、配線36及びビア
35を形成する。
【0041】本実施例においては、最下層の導体層1上
にエッチングストッパ層27が形成されているので、導
体層1がエッチングにより損傷する虞がなく、この導体
層1がシリコン基板である場合は基板に作り込まれた素
子のエッチング損傷が防止される。
【0042】次に、図6(a)乃至(c)を参照して本
発明の第4実施例について説明する。本実施例において
は、図6(a)に示すように、図1に示す第1実施例と
同様に、レジスト膜23を使用して層間絶縁膜22をエ
ッチングすることにより、層間絶縁膜22にビアホール
32を形成する。
【0043】次いで、図6(b)に示すように、ビアホ
ール32に層間絶縁膜とは異なる材料を埋め込んで埋込
膜24を形成する。この埋込膜24をビアホール32に
埋め込むと、図1(b)に示すように、層間絶縁膜22
の上にも埋込膜24が形成されるが、本実施例において
は、エッチバック、CMP、又はウエットエッチング等
の手段により、ビアホール32内にのみ埋込膜24を残
存させ、層間絶縁膜22上の埋込膜24を全て除去す
る。
【0044】その後、層間絶縁膜22上にレジスト膜2
5を塗布し、このレジスト膜25に配線溝のパターン3
3を形成する。
【0045】次いで、図6(c)に示すように、レジス
ト膜25をマスクとして層間絶縁膜22をエッチング
し、配線溝34を形成する。そして、この配線溝34の
深さ分エッチングした後、エッチングを停止する。その
後、レジスト膜25及び埋込膜24を除去した後、図2
(a)乃至(c)と同様の工程で配線及びビアを形成す
る。
【0046】本実施例においては、層間絶縁膜上のエッ
チング選択比が高い埋込膜を除去した後、配線溝のエッ
チングを行っているので、レジスト膜25と層間絶縁膜
22との間で横方向にエッチングが進行することがな
い。このため、エッチングにより、配線溝34の上縁で
角部がだれてしまうことがなく、レジスト膜25の配線
溝パターン33により規定される形状に高精度で一致す
る配線溝34を形成することができる。
【0047】次に、図7(a)乃至(c)及び図8
(a)乃至(c)を参照して本発明の第5実施例につい
て説明する。先ず、図7(a)に示すように、導体層1
上に層間絶縁膜22を形成し、この層間絶縁膜22上に
レジスト膜25を形成する。このレジスト膜25には配
線溝のパターン33を形成する。その後、レジスト膜2
5をマスクとして層間絶縁膜22の厚さ方向の一部をエ
ッチングすることにより、層間絶縁膜22上部に配線溝
34を形成する。この配線溝34の深さ分エッチングし
た時点で、エッチングを停止する。
【0048】次いで、図7(b)に示すように、この配
線溝34を埋込材料で埋め込んで埋込膜24を形成す
る。この埋込膜24はエッチング選択比が層間絶縁膜2
2よりも高いものである。
【0049】その後、埋込膜24上にレジスト膜23を
形成する。次いで、レジスト膜23にビアホールのパタ
ーン31を形成する。また、レジスト膜23は層間絶縁
膜22よりもエッチング選択比が極めて低く、エッチン
グ速度が遅いものである。
【0050】その後、図7(c)に示すように、レジス
ト膜23をマスクとして埋込膜24をエッチングすると
共に、更に層間絶縁膜22を導体層21が露出するま
で、エッチングして、ビアホール32を形成する。この
場合のエッチング条件は、埋込膜24のエッチング速度
が最も高く、次いで層間絶縁膜のエッチング速度が高
く、レジスト膜23のエッチング速度が最も低いもので
ある。
【0051】次いで、図8(a)に示すように、レジス
ト膜23及び埋込膜24を除去して、配線溝34及びビ
アホール32を形成する。
【0052】その後、図8(b)に示すように、配線溝
34及びビアホール32を配線材料26で埋め込み、図
8(c)に示すように、この配線材料26の表面をCM
P研磨して層間絶縁膜22を露出させる。これにより、
配線36及びビア35が形成される。
【0053】本実施例においても、図1及び図2に示す
第1実施例と同様の効果を奏する。層間絶縁膜22にお
けるビアホール32の上縁上には、エッチング選択比が
高い埋込膜24が形成されているので、エッチングによ
りこの埋込膜24の部分が横方向に若干除去され、ビア
ホールの上端縁には突起物が残存することはない。
【0054】次に、図9(a)乃至(c)及び図10
(a)乃至(c)を参照して本発明の第6実施例につい
て説明する。本実施例においては、図7及び図8に示す
実施例に対し、エッチングストッパ層27を設けた点の
み異なる。本実施例においては、図9(a)に示すよう
に、導体層21上にエッチングストッパ層27を形成し
た後、レジスト膜25をマスクとして使用して層間絶縁
膜22に配線溝34を形成し、図9(b)に示すよう
に、配線溝34に埋込膜24を埋め込み、図9(c)に
示すように、レジスト膜23をマスクとして使用して埋
込膜24及び層間絶縁膜22をエッチングする。このエ
ッチングはエッチングストッパ層27で停止する。
【0055】次いで、図10(a)に示すように、ビア
ホール32内に残るエッチングストッパ層27をエッチ
ングにより除去し、図10(b)に示すように、配線材
料26を埋め込み、図10(c)に示すように、配線材
料26をCMP研磨して配線36及びビアホール35を
形成する。
【0056】本実施例においては、図8及び9に示す実
施例と同様の効果を奏するのに加え、図4及び図5に示
す実施例と同様に、導体層21が基板であった場合にそ
の損傷を防止できるという効果を奏する。
【0057】また、図7及び8に示す実施例の応用とし
て、図1及び図2に示す実施例と同様に、ビアホールを
エッチングにより形成する工程において、エッチングを
途中で停止し、埋込膜の除去と同時又は埋込膜の除去後
に残りの層間絶縁膜の部分を除去することとしても良
い。更に、図3に示す実施例と同様に、層間絶縁膜とし
て異なる材料の積層膜を使用しても良い。更にまた、図
6に示す実施例と同様にして、ビアホール内に埋込膜を
埋め込んだ後に、ビアホール内にのみ埋込膜を残し、そ
れ以外の部分は全面除去することとしても良い。
【0058】次に、図11(a)乃至(c)及び図12
(a)乃至(c)を参照して本発明の第7実施例につい
て説明する。本実施例においては、先ず、図11(a)
に示すように、導体層21上にビアホールを形成できる
程度の厚さの第1の層間絶縁膜22を形成し、この第1
層間絶縁膜22上にレジスト膜23を形成し、このレジ
スト膜23にビアホールパターン31を形成する。そし
て、このレジスト膜23をマスクとして第1層間絶縁膜
22をエッチングすることにより、ビアホール32を形
成する。
【0059】次いで、図11(b)に示すように、ビア
ホール32を埋込膜24で埋め込み、この埋込膜24の
上に配線溝を形成するのに十分な厚さの第2層間絶縁膜
28を形成する。
【0060】その後、図11(c)に示すように、第2
層間絶縁膜28上にレジスト膜25を形成し、このレジ
スト膜25に配線溝パターン33を形成する。そして、
この配線溝パターン33により第2層間絶縁膜28をエ
ッチングし、配線溝34を形成する。この場合のエッチ
ング条件は、埋込膜24のエッチング速度が第1層間絶
縁膜22及び第2層間絶縁膜28のエッチング速度より
も速く、層間絶縁膜28のエッチング速度がレジスト膜
25のエッチング速度よりも速くなるものである。ま
た、このエッチングは第1層間絶縁膜22が露出した時
点で停止する。
【0061】その後、図12(a)に示すように、第1
層間絶縁膜22及び第2層間絶縁膜28が殆ど削られな
いようにして、レジスト膜25及びビアホール32内の
埋込膜24を除去する。
【0062】次いで、図12(b)に示すように、配線
溝34及びビアホール32に配線材料26を埋め込み、
これをCMP研磨して、図12(c)に示す配線36及
びビア35を形成する。
【0063】本実施例においても、図11(c)に示す
ように、埋込膜24の方が第1層間絶縁膜22よりもエ
ッチング速度が速いので、ビアホール32内に残存する
埋込膜24は第1層間絶縁膜22よりも低く、このた
め、埋込膜24の上端縁が第1層間絶縁膜22のエッチ
ングに際してマスクとなることがない。従って、第1層
間絶縁膜22のエッチング残りが生じることがない。
【0064】次に、図13(a)乃至(c)及び図14
(a)乃至(c)を参照して本発明の第8実施例につい
て説明する。本実施例が図11及び図12に示す実施例
と異なる点は、図13(b)に示すように、ビアホール
32内にのみ埋込膜24を残存させ、その他の埋込膜2
4を除去することである。
【0065】このため、図11(c)及び図12(a)
乃至(c)と図13(c)及び図14(a)乃至(c)
との比較から明らかなように、本実施例においては、第
1の層間絶縁膜22と第2の層間絶縁膜28との間に、
埋込膜24が残存することがなく、埋込膜の材料として
選択の範囲が広いという利点がある。
【0066】なお、図11及び12に示す実施例の応用
として、図4及び5に示す実施例と同様に、エッチング
ストッパ層を導体層21と第1層間絶縁膜22との間に
設けても良い。また、図1及び2に示すように。ビアホ
ール32の形成に際し、若干層間絶縁膜22を残してお
いて、埋込膜24の除去と同時又は埋込膜24の除去後
に残存した層間絶縁膜22を除去しても良い。
【0067】
【発明の効果】以上詳述したように、本発明によれば、
層間絶縁膜に配線溝とホールを形成するエッチング工程
において、層間絶縁膜のエッチング残りが発生せず、従
って層間絶縁膜の残存突起物が配線内に混在することが
なく、配線品質を向上させることができる。
【図面の簡単な説明】
【図1】(a)乃至(c)は本発明の第1実施例に係る
デュアルダマシン配線の形成方法を工程順に示す断面図
である。
【図2】(a)乃至(c)は同じく本第1実施例におい
て、図1の次の工程を工程順に示す図である。
【図3】(a)乃至(c)は本発明の第2実施例に係る
デュアルダマシン配線の形成方法を工程順に示す断面図
である。
【図4】(a)乃至(c)は本発明の第3実施例に係る
デュアルダマシン配線の形成方法を工程順に示す断面図
である。
【図5】(a)乃至(c)は同じく本第3実施例におい
て、図4の次の工程を工程順に示す図である。
【図6】(a)乃至(c)は本発明の第4実施例に係る
デュアルダマシン配線の形成方法を工程順に示す断面図
である。
【図7】(a)乃至(c)は本発明の第5実施例に係る
デュアルダマシン配線の形成方法を工程順に示す断面図
である。
【図8】(a)乃至(c)は同じく本第5実施例におい
て、図7の次の工程を工程順に示す図である。
【図9】(a)乃至(c)は本発明の第6実施例に係る
デュアルダマシン配線の形成方法を工程順に示す断面図
である。
【図10】(a)乃至(c)は同じく本第6実施例にお
いて、図9の次の工程を工程順に示す図である。
【図11】(a)乃至(c)は本発明の第7実施例に係
るデュアルダマシン配線の形成方法を工程順に示す断面
図である。
【図12】(a)乃至(c)は同じく本第7実施例にお
いて、図11の次の工程を工程順に示す図である。
【図13】(a)乃至(c)は本発明の第8実施例に係
るデュアルダマシン配線の形成方法を工程順に示す断面
図である。
【図14】(a)乃至(c)は同じく本第8実施例にお
いて、図13の次の工程を工程順に示す図である。
【図15】(a)乃至(c)は従来のデュアルダマシン
配線の形成方法を工程順に示す断面図である。
【図16】(a)乃至(d)は従来の他のデュアルダマ
シン配線の形成方法を工程順に示す断面図である。
【符号の説明】
1:シリコン基板 2:シリコン酸化膜 3:シリコン窒化膜 4:レジスト膜 5:ビアホールパターン 11:シリコン基板 12:層間絶縁膜 13:ビアホール 14:埋込膜 15:レジスト膜 16:配線溝 17:突起物 21:導体層 22、22a、22b、28:層間絶縁膜 23、25:レジスト膜 24:埋込膜 26:配線材料 27:エッチングストッパ層 31:ビアホールパターン 32:ビアホール 33:配線溝パターン 34:配線溝 35:ビア 36:配線
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 5F004 AA03 AA11 CA01 DA00 DA04 DA16 DA18 DA23 DA26 DB03 DB07 EA26 EA28 EB01 EB02 EB03 5F033 KK01 MM02 QQ09 QQ10 QQ11 QQ16 QQ18 QQ19 QQ21 QQ25 QQ35 QQ37 QQ48 RR04 TT01

Claims (13)

    【特許請求の範囲】
  1. 【請求項1】 下層導体層上に層間絶縁膜を形成する工
    程と、この層間絶縁膜上にホールパターンの開口を有す
    る第1レジスト膜を形成する工程と、前記第1レジスト
    膜をマスクとして前記層間絶縁膜をエッチングしてホー
    ルを形成する工程と、前記ホールを前記層間絶縁膜より
    もエッチング速度が速い材料で埋め込むことにより埋込
    膜を形成する工程と、前記埋込膜上に配線溝パターンの
    開口を有する第2レジスト膜を形成する工程と、この第
    2レジスト膜をマスクとして前記埋込膜及び層間絶縁膜
    をエッチングして前記層間絶縁膜に配線溝を形成する工
    程と、を有することを特徴とするデュアルダマシン配線
    の形成方法。
  2. 【請求項2】 下層導体層上に層間絶縁膜を形成する工
    程と、この層間絶縁膜上に配線溝パターンの開口を有す
    る第1レジスト膜を形成する工程と、前記第1レジスト
    膜をマスクとして前記層間絶縁膜をエッチングして配線
    溝を形成する工程と、前記配線溝を前記層間絶縁膜より
    もエッチング速度が速い材料で埋め込むことにより埋込
    膜を形成する工程と、前記埋込膜上にホールパターンの
    開口を有する第2レジスト膜を形成する工程と、この第
    2レジスト膜をマスクとして前記埋込膜及び層間絶縁膜
    をエッチングして前記層間絶縁膜にホールを形成する工
    程と、を有することを特徴とするデュアルダマシン配線
    の形成方法。
  3. 【請求項3】 下層導体層上に第1層間絶縁膜を形成す
    る工程と、この第1層間絶縁膜上にホールパターンの開
    口を有する第1レジスト膜を形成する工程と、前記第1
    レジスト膜をマスクとして前記第1層間絶縁膜をエッチ
    ングしてホールを形成する工程と、前記ホールを前記第
    1層間絶縁膜よりもエッチング速度が速い材料で埋め込
    むことにより埋込膜を形成する工程と、全面に第2層間
    絶縁膜を形成する工程と、前記第2層間絶縁膜上に配線
    溝パターンの開口を有する第2レジスト膜を形成する工
    程と、前記第2レジスト膜をマスクとして前記第2層間
    絶縁膜をエッチングすることにより配線溝を形成する工
    程と、を有することを特徴とするデュアルダマシン配線
    の形成方法。
  4. 【請求項4】 前記ホール内の埋込膜を除去する工程
    と、前記配線溝及びホール内に導電材料を埋め込んで配
    線及びコンタクト又はビアを同時に形成する工程とを有
    することを特徴とする請求項1又は3に記載のデュアル
    ダマシン配線の形成方法。
  5. 【請求項5】 前記ホールのエッチング工程の次工程と
    して、前記層間絶縁膜上の埋込膜を除去する工程と、前
    記配線溝及びホール内に導電材料を埋め込んで配線及び
    コンタクト又はビアを同時に形成する工程とを有するこ
    とを特徴とする請求項2に記載のデュアルダマシン配線
    の形成方法。
  6. 【請求項6】 前記ホールを形成するためのエッチング
    工程は、前記層間絶縁膜を一部残存させてエッチングを
    停止するものであることを特徴とする請求項1乃至3の
    いずれか1項に記載のデュアルダマシン配線の形成方
    法。
  7. 【請求項7】 前記ホール内に残存した層間絶縁膜を、
    前記埋込膜の除去と同時又は前記埋込膜を除去した後に
    除去することを特徴とする請求項6に記載のデュアルダ
    マシン配線の形成方法。
  8. 【請求項8】 前記層間絶縁膜はエッチング選択比が相
    違する2種の材料の積層膜であり、上層膜の方が下層膜
    よりもエッチング速度が速いことを特徴とする請求項1
    又は2に記載のデュアルダマシン配線の形成方法。
  9. 【請求項9】 前記下層導体層と前記層間絶縁膜との間
    にエッチングストッパ層を形成する工程を有し、前記ホ
    ールのエッチング工程においてエッチングは前記エッチ
    ングストッパ層にて停止することを特徴とする請求項1
    乃至3のいずれか1項に記載のデュアルダマシン配線の
    形成方法。
  10. 【請求項10】 前記埋込膜を形成する工程の次工程と
    して、前記層間絶縁膜上の埋込膜を除去して前記ホール
    内にのみ前記埋込膜を残存させる工程を有することを特
    徴とする請求項1又は2に記載のデュアルダマシン配線
    の形成方法。
  11. 【請求項11】 前記埋込膜を形成する工程の次工程と
    して、前記第1層間絶縁膜上の埋込膜を除去する工程を
    有することを特徴とする請求項3に記載のデュアルダマ
    シン配線の形成方法。
  12. 【請求項12】 前記下層導体層は下層配線であり、前
    記ホールはビアホールであることを特徴とする請求項1
    乃至12のいずれか1項に記載のデュアルダマシン配線
    の形成方法。
  13. 【請求項13】 前記下層導体は半導体基板であり、前
    記ホールはビアホールであることを特徴とする請求項1
    乃至12のいずれか1項に記載のデュアルダマシン配線
    の形成方法。
JP36355598A 1998-12-21 1998-12-21 デュアルダマシン配線の形成方法 Expired - Fee Related JP3214475B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP36355598A JP3214475B2 (ja) 1998-12-21 1998-12-21 デュアルダマシン配線の形成方法
GB9930205A GB2345189A (en) 1998-12-21 1999-12-21 Process for forming dual damascene wiring
CN 99126604 CN1258097A (zh) 1998-12-21 1999-12-21 形成双镶嵌布线的方法
KR1019990059830A KR20000048294A (ko) 1998-12-21 1999-12-21 듀얼 다마신 배선의 형성방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP36355598A JP3214475B2 (ja) 1998-12-21 1998-12-21 デュアルダマシン配線の形成方法

Publications (2)

Publication Number Publication Date
JP2000188330A true JP2000188330A (ja) 2000-07-04
JP3214475B2 JP3214475B2 (ja) 2001-10-02

Family

ID=18479608

Family Applications (1)

Application Number Title Priority Date Filing Date
JP36355598A Expired - Fee Related JP3214475B2 (ja) 1998-12-21 1998-12-21 デュアルダマシン配線の形成方法

Country Status (4)

Country Link
JP (1) JP3214475B2 (ja)
KR (1) KR20000048294A (ja)
CN (1) CN1258097A (ja)
GB (1) GB2345189A (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003023069A (ja) * 2001-05-17 2003-01-24 Samsung Electronics Co Ltd 半導体素子の金属配線層形成方法
KR100382089B1 (ko) * 2000-01-18 2003-05-09 엔이씨 일렉트로닉스 코포레이션 금속 배선에 도달하는 비아홀 및 층간막 내의 오목그루브를 동시에 형성하는 것을 포함하는 반도체집적회로의 제조 방법 및 그 제조 방법에 의해 제조된반도체 집적회로
JP2003224249A (ja) * 2002-01-28 2003-08-08 Sony Corp 半導体撮像装置及びその製造方法
KR20030095100A (ko) * 2002-06-11 2003-12-18 동부전자 주식회사 듀얼 다마신 공정
KR100443515B1 (ko) * 2001-12-24 2004-08-09 주식회사 하이닉스반도체 비아홀 형성 방법
US6774028B2 (en) 2001-06-14 2004-08-10 Nec Electronics Corporation Method of forming wiring structure by using photo resist having optimum development rate
JP2005079191A (ja) * 2003-08-28 2005-03-24 Ulvac Japan Ltd 層間絶縁膜のドライエッチング方法
JP2008235938A (ja) * 2008-06-02 2008-10-02 Sony Corp 半導体撮像装置及びその製造方法
JP2009111134A (ja) * 2007-10-30 2009-05-21 Renesas Technology Corp 半導体装置およびその製造方法
JP2010153894A (ja) * 2010-02-19 2010-07-08 Tokyo Electron Ltd 半導体装置の製造方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4174412B2 (ja) * 2003-11-07 2008-10-29 株式会社東芝 半導体装置及びその製造方法
WO2008044181A1 (en) * 2006-10-09 2008-04-17 Nxp B.V. Method of forming an interconnect structure
CN101196691B (zh) * 2006-12-05 2010-04-21 中芯国际集成电路制造(上海)有限公司 改善通孔金属连接缺陷的方法
CN101459123B (zh) * 2007-12-13 2011-08-17 中芯国际集成电路制造(上海)有限公司 通孔及双镶嵌结构的形成方法
CN101740477B (zh) * 2008-11-11 2012-02-29 中芯国际集成电路制造(北京)有限公司 通孔及双镶嵌结构的形成方法
KR101318463B1 (ko) * 2013-05-13 2013-10-16 현대오토에버 주식회사 노면상태 정보제공 시스템

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5219787A (en) * 1990-07-23 1993-06-15 Microelectronics And Computer Technology Corporation Trenching techniques for forming channels, vias and components in substrates
US5187121A (en) * 1991-12-18 1993-02-16 International Business Machines Corporation Process for fabrication of a semiconductor structure and contact stud
US5705430A (en) * 1995-06-07 1998-01-06 Advanced Micro Devices, Inc. Dual damascene with a sacrificial via fill
US5702982A (en) * 1996-03-28 1997-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making metal contacts and interconnections concurrently on semiconductor integrated circuits
KR0179292B1 (ko) * 1996-04-12 1999-04-15 문정환 반도체소자의 다층배선 형성방법
US6300235B1 (en) * 1997-06-30 2001-10-09 Siemens Aktiengesellschaft Method of forming multi-level coplanar metal/insulator films using dual damascene with sacrificial flowable oxide
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US6004883A (en) * 1998-10-23 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene patterned conductor layer formation method without etch stop layer

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100382089B1 (ko) * 2000-01-18 2003-05-09 엔이씨 일렉트로닉스 코포레이션 금속 배선에 도달하는 비아홀 및 층간막 내의 오목그루브를 동시에 형성하는 것을 포함하는 반도체집적회로의 제조 방법 및 그 제조 방법에 의해 제조된반도체 집적회로
JP2003023069A (ja) * 2001-05-17 2003-01-24 Samsung Electronics Co Ltd 半導体素子の金属配線層形成方法
US6774028B2 (en) 2001-06-14 2004-08-10 Nec Electronics Corporation Method of forming wiring structure by using photo resist having optimum development rate
KR100443515B1 (ko) * 2001-12-24 2004-08-09 주식회사 하이닉스반도체 비아홀 형성 방법
JP2003224249A (ja) * 2002-01-28 2003-08-08 Sony Corp 半導体撮像装置及びその製造方法
KR20030095100A (ko) * 2002-06-11 2003-12-18 동부전자 주식회사 듀얼 다마신 공정
JP2005079191A (ja) * 2003-08-28 2005-03-24 Ulvac Japan Ltd 層間絶縁膜のドライエッチング方法
JP4681217B2 (ja) * 2003-08-28 2011-05-11 株式会社アルバック 層間絶縁膜のドライエッチング方法
JP2009111134A (ja) * 2007-10-30 2009-05-21 Renesas Technology Corp 半導体装置およびその製造方法
JP2008235938A (ja) * 2008-06-02 2008-10-02 Sony Corp 半導体撮像装置及びその製造方法
JP2010153894A (ja) * 2010-02-19 2010-07-08 Tokyo Electron Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
KR20000048294A (ko) 2000-07-25
GB9930205D0 (en) 2000-02-09
JP3214475B2 (ja) 2001-10-02
GB2345189A (en) 2000-06-28
CN1258097A (zh) 2000-06-28

Similar Documents

Publication Publication Date Title
JP3214475B2 (ja) デュアルダマシン配線の形成方法
JP2002043419A (ja) 半導体装置の製造方法及び半導体装置
US6027994A (en) Method to fabricate a dual metal-damascene structure in a substrate
JP3312604B2 (ja) 半導体装置の製造方法
KR100454128B1 (ko) 금속간 절연막 패턴 및 그 형성 방법
JP3183238B2 (ja) 半導体装置の製造方法
JP2002083868A (ja) 半導体装置及びその製造方法
JP4201421B2 (ja) 半導体装置の製造方法
US6204096B1 (en) Method for reducing critical dimension of dual damascene process using spin-on-glass process
JP2001176965A (ja) 半導体装置及びその製造方法
JP2872086B2 (ja) 半導体装置の製造方法
JP3606272B2 (ja) 配線構造の形成方法
JP2002319617A (ja) 半導体装置及びその製造方法
JP4207113B2 (ja) 配線構造の形成方法
US6340638B1 (en) Method for forming a passivation layer on copper conductive elements
JPH10150103A (ja) 半導体装置の製造方法
JP4483116B2 (ja) 半導体装置の製造方法
US20050142856A1 (en) Method of fabricating interconnection structure of semiconductor device
JP2004335721A (ja) 半導体装置の製造方法及び半導体装置
JP2009054879A (ja) 集積回路の製造方法
JP2001148423A (ja) 半導体装置の製造方法
JP2004072080A (ja) 半導体装置の製造方法および半導体装置
KR100379530B1 (ko) 반도체 소자의 듀얼 다마신 형성방법
JP4102159B2 (ja) 半導体装置の製造方法
JPH07221110A (ja) 半導体装置の配線構造とその製造方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees