KR950702087A - 고성능 수평 확산로 시스템(high performance horizontal diffusion furnacs system) - Google Patents

고성능 수평 확산로 시스템(high performance horizontal diffusion furnacs system)

Info

Publication number
KR950702087A
KR950702087A KR1019940704560A KR19940704560A KR950702087A KR 950702087 A KR950702087 A KR 950702087A KR 1019940704560 A KR1019940704560 A KR 1019940704560A KR 19940704560 A KR19940704560 A KR 19940704560A KR 950702087 A KR950702087 A KR 950702087A
Authority
KR
South Korea
Prior art keywords
heating
high temperature
furnace
chamber
temperature diffusion
Prior art date
Application number
KR1019940704560A
Other languages
English (en)
Other versions
KR100316069B1 (ko
Inventor
케빈 비. 펙
로날드 이 에릭슨
스티벤 에이치. 매튜즈
Original Assignee
로날드이, 에릭슨
썸텍 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 로날드이, 에릭슨, 썸텍 인코포레이티드 filed Critical 로날드이, 에릭슨
Publication of KR950702087A publication Critical patent/KR950702087A/ko
Application granted granted Critical
Publication of KR100316069B1 publication Critical patent/KR100316069B1/ko

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B5/00Muffle furnaces; Retort furnaces; Other furnaces in which the charge is held completely isolated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/10Reaction chambers; Selection of materials therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Metallurgy (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Furnace Details (AREA)
  • Tunnel Furnaces (AREA)
  • Meat, Egg Or Seafood Products (AREA)
  • Magnetic Resonance Imaging Apparatus (AREA)
  • Fuel Cell (AREA)
  • Resistance Heating (AREA)
  • Muffle Furnaces And Rotary Kilns (AREA)

Abstract

고온 확산로(10)의 실행은 개선된 복합로모듈 셀계(11)에 의해 향상된다. 노(10)는 조정가능한 수평화프레임 어셈블리(59)와 청정실 환경에 적합한 재료로 구성된다. 측면 어셈블리(31)와 가열부 호이스트구조(22)로 된 각각의 노모듈튜브의 가열부 배치구조(85)는 향상된 유지보수성을 허용한다. 열처리 실행은 각각의 노모듈 냉각시스템(15,16,30)을 갖춘 밀봉된 가열부(12)에 의해 개선된다. 개선된 열전쌍(107)은 열처리 공정제어와 가열부 유지보수성을 향상시키기 위하여 위치된다.

Description

고성능 수평 확산로 시스템(HIGH PERFORMANCE HORIZONATAL DIFFUSION FURNACS SYSTEM)
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제2도는 고성능 노의 로드단부를 도시한 도면,
제3도는 고성능 노의 평면도,
제5도는 수평화프레임 어셈블리의 일부분을 도시한 확대 단면도,
제6A도와 제6B도는 각각 제거된 노무듈을 갖춘 냉각시스템의 측면도와 각각의 노모듈을 위한 냉각시스템의 로드단부를 도시한 도면.

Claims (9)

  1. 향상된 표면균일성을 가진 스테인레스강으로 이루어진 적어도 한 부분을 구비하는 프레임, 프레임에 연결되고, 알루미늄과 폴리에틸렌으로 이루어진 적어도 한 부분을 구비하는 다수의 패널 및, 프레임에 위치된, 패널과 일치하는 다수의 가열모듈로 이루어지는 것을 특징으로 하는 낮은 먼지의 대기에서 사용하는 고온 확산로.
  2. 베이스, 베이스에 연결되고, 표면위에 고온 확산로를 지지하는 프레임 및, 노프레임과 베이스에 연결된, 프레임과 베이스사이에서 거리를 조정하는 다수의 수평화프레임 어셈블리로 이루어지는 것을 특징으로 하는 장착표면에 대하여 고온 확산로의 위치를 조정하는 장치.
  3. 고온 확산로를 지지하며, 노모듈의 전, 후방측면과 일치하는 전방측면 및 후방측면을 가지고, 후방에서 전방측면을 향하여 확장하는 평행의 캔틸레버 부재를 가지늘 프레임, 캔틸레버 부재 각각에 연결된 서랍식 슬라이더 및, 서랍식 슬라이더를 캔틸레버 부재에 연결하고 가열부가 전방측면을 향하여 확장하도록 가열부의 단부에 연결하는 칸막이로 이루어지는 것을 특징으로 하는 로드단부, 반배단부 및 전, 후방측면을 갖춘 가열부를 포함하는, 고온 확산로내에 노모듈에 대한 접근을 허용하는 장치.
  4. 고온 확산로에 연결된 빔, 빔으로 부터 확장하는 다수의 케이블, 다수의 케이블을 가열부에 부착하는 다수의 케이블에 연결된 수단 및, 가열부가 위치되도록 케이블을 이동하는 수단등으로 이루어지는 것을 특징으로 하는 고온 확산로에 가열부를 위치시키는 장치.
  5. 낮은 마찰 표면부와 측면으로 된 부재챔버 플랫폼을 포함하는 부재챔버, 제1슬레드는 제2슬레드에 연결되고 제2슬레드로 부터 제1거리로 분리되는, 낮은 마찰 표면부상에 위치되고 가열부단부를 지지하며, 부재챔버측면으로 부터 제2거리로 분리되는 슬레드, 가열부가 부재챔버 플랫폼에 대하여 상승되거나 하향되도록 하기 위하여 슬레드사이에 제1거리를 조정하는 수단 및, 슬레드가 부재챔버측면에 대하여 가열부단부를 위치시키도록 하기 위하여 제2거리를 조정하는 수단등으로 이루어지는 것을 특징으로 하는 고온 확산로에서 가열부단부를 위치시키는 장치.
  6. 로드단부를 갖추고, 로드단부에 잇는 개구부로서 공정챔버를 둘러싼는 노챔버를 갖춘 원통형 가열부, 세라믹섬유와 탄화규소 혼합재료로 구성된 공정챔버에 있는 부재라이너, 로드단부에 있는 가열부에서의 콘센트 및, 콘센트에 연결된, 노챔버를 밀봉하는 수단으로 이루어지는 것을 특징으로 하는 고온 확산로에서 가열부단부를 위치시키는 장치.
  7. 흡입구를 포함하는 고온 확산로에서의 패널, 제1가열부의 열에너지를 공기의 흐름으로 전달하는 열에너지를 방사하는 제1가열부를 통해 에너지의 흐름을 발생하는 흡입구를 통해 공기소오스를 배출하는 수단 및, 제2가열부로 부터 제1가열부를 통해 공기의 흐름을 분리하는 수단등으로 이루어지는 것을 특징으로 하는 공기의 소오스를 사용하는 고온 확산로에서 가열부단부를 위치시키는 장치.
  8. 다수의 와이어리드, 와이어리드의 실질적인 부분을 둘러싸고, 열에너지에 대한 와이어리드의 적은 노출을 허용하는 세라믹 절연층 및, 세라믹의 적어도 일부를 덮어 무선주파수 간섭에너지를 제거하는 탄화규소층으로 이루어지는 것을 특징으로 하는 무선주파수 간섭에너지영역을 가지는 고온 확산로에서 열에너지를 측정하는 장치.
  9. 소오스단부에서 구멍을 갖춘 노챔버와 소오스단부를 갖춘 공정챔버를 포함하는 원통형 가열부 및, 노챔버의 정확한 측정을 가능하게 하도록 구멍안에 충분히 삽입되는 열전쌍등으로 이루어지는 것을 특징으로 하는 고온 확산로에 온도를 측정하는 장치.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019940704560A 1992-06-15 1993-06-09 고성능 수평 확산로 시스템 KR100316069B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US898,552 1986-08-21
US07/898,552 US5461214A (en) 1992-06-15 1992-06-15 High performance horizontal diffusion furnace system
US07/898,552 1992-06-15
PCT/US1993/005546 WO1993026137A1 (en) 1992-06-15 1993-06-09 High performance horizontal diffusion furnace system

Publications (2)

Publication Number Publication Date
KR950702087A true KR950702087A (ko) 1995-05-17
KR100316069B1 KR100316069B1 (ko) 2005-05-18

Family

ID=25409614

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940704560A KR100316069B1 (ko) 1992-06-15 1993-06-09 고성능 수평 확산로 시스템

Country Status (8)

Country Link
US (5) US5461214A (ko)
EP (1) EP0645072B1 (ko)
JP (3) JPH07509345A (ko)
KR (1) KR100316069B1 (ko)
AT (1) ATE231318T1 (ko)
DE (1) DE69332639T2 (ko)
ES (1) ES2191665T3 (ko)
WO (1) WO1993026137A1 (ko)

Families Citing this family (271)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6063234A (en) * 1997-09-10 2000-05-16 Lam Research Corporation Temperature sensing system for use in a radio frequency environment
JP3788855B2 (ja) * 1997-09-11 2006-06-21 大日本スクリーン製造株式会社 基板処理ユニットおよびそれを用いた基板処理装置
US5970214A (en) 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US5930456A (en) 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US6224678B1 (en) * 1998-08-12 2001-05-01 Advanced Micro Devices, Inc. Modified thermocouple mounting bushing and system including the same
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6375081B1 (en) 1999-02-02 2002-04-23 The Standard Register Company Business form including smart card and smart card reader
US6496648B1 (en) * 1999-08-19 2002-12-17 Prodeo Technologies, Inc. Apparatus and method for rapid thermal processing
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US20040114665A1 (en) * 2002-12-12 2004-06-17 Sun Park Cantilevered thermocouple rake
US6857776B2 (en) * 2002-12-12 2005-02-22 Ametek, Inc. Connectorized high-temperature thermocouple
JP3910151B2 (ja) * 2003-04-01 2007-04-25 東京エレクトロン株式会社 熱処理方法及び熱処理装置
US6807220B1 (en) 2003-05-23 2004-10-19 Mrl Industries Retention mechanism for heating coil of high temperature diffusion furnace
US7762949B2 (en) * 2003-10-16 2010-07-27 Granit Medical Innovation, Llc Endoscope with open channels
JP4246654B2 (ja) * 2004-03-08 2009-04-02 株式会社日立ハイテクノロジーズ 真空処理装置
CN100383912C (zh) * 2005-01-11 2008-04-23 中芯国际集成电路制造(上海)有限公司 晶片高温测试炉
US7335864B2 (en) * 2005-06-01 2008-02-26 Mrl Industries, Inc. Magnetic field reduction resistive heating elements
US20060275933A1 (en) * 2005-06-02 2006-12-07 Applied Materials, Inc. Thermally conductive ceramic tipped contact thermocouple
US20080314892A1 (en) * 2007-06-25 2008-12-25 Graham Robert G Radiant shield
JP2010024649A (ja) * 2008-07-16 2010-02-04 Oki Semiconductor Co Ltd 二重床の耐震補強構造及び二重床の耐震補強方法
US8394229B2 (en) * 2008-08-07 2013-03-12 Asm America, Inc. Susceptor ring
US8395096B2 (en) * 2009-02-05 2013-03-12 Sandvik Thermal Process, Inc. Precision strip heating element
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120168143A1 (en) * 2010-12-30 2012-07-05 Poole Ventura, Inc. Thermal Diffusion Chamber With Heat Exchanger
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9188489B2 (en) * 2011-12-01 2015-11-17 Rosemount Inc. Twisted sensor tube
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9416731B2 (en) * 2013-10-31 2016-08-16 General Electric Company Thermocouple assembly
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6075423B1 (ja) * 2015-09-03 2017-02-08 株式会社明電舎 真空遮断器
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN105937051A (zh) * 2015-11-27 2016-09-14 上海广奕电子科技股份有限公司 一种立式扩散炉的安全防护装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
NL2016334B1 (en) * 2016-02-29 2017-09-11 Tempress Ip B V Horizontal furnace system and method for handling wafer boats, and wafer boat.
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
RU2684335C2 (ru) * 2017-09-04 2019-04-08 Акционерное Общество "Новосибирский Завод Полупроводниковых Приборов С Окб" (Ао "Нзпп С Окб") Консольное устройство для горизонтальной бесконтактной загрузки полупроводниковых пластин в диффузионную печь
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US11434032B2 (en) 2017-12-11 2022-09-06 Glaxosmithkline Intellectual Property Development Limited Modular aseptic production system
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN111446185A (zh) 2019-01-17 2020-07-24 Asm Ip 控股有限公司 通风基座
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
TW202110587A (zh) 2019-05-22 2021-03-16 荷蘭商Asm Ip 控股公司 工件基座主體及用於沖洗工件基座的方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111748679A (zh) * 2020-07-15 2020-10-09 郭斌 一种高温耐磨防腐蚀的铝热加工炉
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11650106B2 (en) * 2020-12-30 2023-05-16 Rosemount Inc. Temperature probe with improved response time
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE143127C (ko) *
US3098763A (en) * 1961-05-29 1963-07-23 Raytheon Co Chemical reactor
US3170977A (en) * 1961-11-16 1965-02-23 Koppers Co Inc Oxygen lance with detachable barrel
US3170016A (en) * 1962-11-23 1965-02-16 Nat Steel Corp Fluid transfer device
US3488044A (en) * 1967-05-01 1970-01-06 Nat Steel Corp Apparatus for refining metal
US3385921A (en) * 1967-06-21 1968-05-28 Electroglas Inc Diffusion furnace with high speed recovery
US3829982A (en) * 1972-06-15 1974-08-20 Thermogenics Of New York Ink curing and drying apparatus
US3811825A (en) * 1972-11-03 1974-05-21 Sowell J Semiconductor wafer transport device
US3967385A (en) * 1974-08-26 1976-07-06 National-Standard Company, Wagner-Litho Machinery Division Utilization of heat pipes for cooling radiation curing systems
CA1084235A (en) * 1976-05-24 1980-08-26 Ryo Enomoto PROCESS AND AN APPARATUS FOR PRODUCING SILICON CARBIDE CONSISTING MAINLY OF .beta.-TYPE CRYSTAL
US4246434A (en) * 1978-12-20 1981-01-20 Abar Corporation Work support for vacuum electric furnaces
DD143127A1 (de) * 1979-04-20 1980-07-30 Rolf Koenig Vorrichtung zur durchfuehrung vorwiegend thermischer arbeitsprozesse,insbesondere in der halbleiterindustrie
US4347431A (en) * 1980-07-25 1982-08-31 Bell Telephone Laboratories, Inc. Diffusion furnace
JPS5862489A (ja) * 1981-10-07 1983-04-13 株式会社日立製作所 ソフトランデイング装置
JPS5878424A (ja) * 1981-11-05 1983-05-12 Toshiba Ceramics Co Ltd 半導体拡散炉用マザ−ボ−ト
US4412812A (en) * 1981-12-28 1983-11-01 Mostek Corporation Vertical semiconductor furnace
US4423516A (en) * 1982-03-22 1983-12-27 Mellen Sr Robert H Dynamic gradient furnace with controlled heat dissipation
US4545327A (en) * 1982-08-27 1985-10-08 Anicon, Inc. Chemical vapor deposition apparatus
JPS5977289A (ja) * 1982-10-26 1984-05-02 ウシオ電機株式会社 光照射炉
US4526534A (en) * 1983-06-01 1985-07-02 Quartz Engineering & Materials, Inc. Cantilever diffusion tube apparatus and method
US4510609A (en) * 1984-01-31 1985-04-09 The United States Of America As Represented By The Secretary Of The Army Furnace for vertical solidification of melt
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4543059A (en) * 1984-07-18 1985-09-24 Quartz Engineering & Materials, Inc. Slotted cantilever diffusion tube system and method and apparatus for loading
US4702694A (en) * 1984-08-31 1987-10-27 Union Oil Company Of California Furnace with modular construction
GB2164438B (en) * 1984-09-14 1988-07-27 Aisin Seiki Heat exchangers
JPS61191015A (ja) * 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
US4692115A (en) * 1985-04-03 1987-09-08 Thermco Systems, Inc. Semiconductor wafer furnace door
CA1251100A (en) * 1985-05-17 1989-03-14 Richard Cloutier Chemical vapor deposition
JPS6221229A (ja) * 1985-07-22 1987-01-29 Hitachi Ltd 処理装置
JPS62272525A (ja) * 1986-05-21 1987-11-26 Hitachi Ltd 熱処理装置
US4802441A (en) * 1987-01-08 1989-02-07 Btu Engineering Corporation Double wall fast cool-down furnace
US4849608A (en) * 1987-02-14 1989-07-18 Dainippon Screen Mfg. Co., Ltd. Apparatus for heat-treating wafers
DE3719952A1 (de) * 1987-06-15 1988-12-29 Convac Gmbh Einrichtung zur behandlung von wafern bei der herstellung von halbleiterelementen
US4756091A (en) * 1987-06-25 1988-07-12 Herbert Van Denend Hybrid high-velocity heated air/infra-red drying oven
KR960001160B1 (ko) * 1987-07-31 1996-01-19 도오교오 에레구토론 가부시끼가이샤 가열로(加熱爐)
JPS6455821A (en) * 1987-08-26 1989-03-02 Dainippon Screen Mfg Rapid cooling type heat treating apparatus
JPH0744159B2 (ja) * 1987-09-11 1995-05-15 株式会社日立製作所 半導体ウエハの熱処理装置および熱処理方法
EP0306967B1 (en) * 1987-09-11 1997-04-16 Hitachi, Ltd. Apparatus for performing heat treatment on semiconductor wafers
JP2553364B2 (ja) * 1987-10-28 1996-11-13 東京エレクトロン株式会社 熱処理装置
KR970008334B1 (en) * 1988-02-24 1997-05-23 Tokyo Electron Sagami Kk Method and apparatus for heat treatment method
KR960012876B1 (ko) * 1988-06-16 1996-09-25 도오교오 에레구토론 사가미 가부시끼가이샤 열처리 장치
US5117562A (en) * 1989-04-14 1992-06-02 Robert C. Dulay Radiant energy ink drying device
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5178534A (en) * 1989-05-18 1993-01-12 Bayne Christopher J Controlled diffusion environment capsule and system
US4911638A (en) * 1989-05-18 1990-03-27 Direction Incorporated Controlled diffusion environment capsule and system
US4976612A (en) * 1989-06-20 1990-12-11 Automated Wafer Systems Purge tube with floating end cap for loading silicon wafers into a furnace
JP2764436B2 (ja) * 1989-06-29 1998-06-11 東芝セラミックス株式会社 縦型拡散炉
JP2958428B2 (ja) * 1989-07-15 1999-10-06 東芝セラミックス株式会社 熱電対用SiC製保護管
US5099586A (en) * 1989-09-08 1992-03-31 W. R. Grace & Co.-Conn. Reflector assembly for heating a substrate
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5072094A (en) * 1990-09-11 1991-12-10 United States Department Of Energy Tube furnace
JP2998903B2 (ja) * 1990-11-14 2000-01-17 東京エレクトロン株式会社 熱処理装置
US5174045A (en) * 1991-05-17 1992-12-29 Semitool, Inc. Semiconductor processor with extendible receiver for handling multiple discrete wafers without wafer carriers

Also Published As

Publication number Publication date
DE69332639T2 (de) 2003-11-06
JPH07509345A (ja) 1995-10-12
US5461214A (en) 1995-10-24
EP0645072A1 (en) 1995-03-29
EP0645072B1 (en) 2003-01-15
JP2004006848A (ja) 2004-01-08
US5481088A (en) 1996-01-02
ATE231318T1 (de) 2003-02-15
WO1993026137A1 (en) 1993-12-23
JP2007295005A (ja) 2007-11-08
US5530222A (en) 1996-06-25
KR100316069B1 (ko) 2005-05-18
US5483041A (en) 1996-01-09
US5517001A (en) 1996-05-14
DE69332639D1 (de) 2003-02-20
EP0645072A4 (en) 1997-12-10
ES2191665T3 (es) 2003-09-16

Similar Documents

Publication Publication Date Title
KR950702087A (ko) 고성능 수평 확산로 시스템(high performance horizontal diffusion furnacs system)
WO1993026137B1 (en) High performance horizontal diffusion furnace system
KR940006190A (ko) 내장된 프로세스 제어 감지기를 갖고 있는 다구역 조명기
US7046025B2 (en) Test apparatus for testing substrates at low temperatures
US20060158207A1 (en) Method and apparatus for testing semiconductor wafers by means of a temperature-regulated chuck device
JPH05506299A (ja) 高い強さの赤外線熱処理装置
EP3131657B1 (en) Convectively controlled adiabatic column chamber for use in chromatographic systems
KR100228809B1 (ko) 기판을 이송하기 위한 장치
JPH0845847A (ja) 半導体材料の蒸着用装置におけるキヤリヤ部材の取付具およびその使用方法
US10345276B2 (en) Passive column pre-heater for use in chromatographic systems
KR20240035962A (ko) 두 물질 표면 사이의 복사열전달 측정방법 및 장치
US3309504A (en) System for heating chromatographic columns
EP0381247A2 (en) Apparatus and method for epitaxial deposition
US5266777A (en) Dental furnace with metallic inner housing, plastic outer housing, and air space therebetween
JP3361804B2 (ja) Ccvd反応器システム
FR2404812A1 (fr) Chauffage a rayonnement du plafond pour magasins et batiments analogues
GB2064788A (en) Apparatus for testing the resistance of a specimen to light and weathering
JP2002353116A (ja) 荷電粒子描画装置
CA2255708A1 (en) Apparatus and method for operating a heat pipe panel assembly
JPS56160668A (en) Device for eliminating defective semiconductor device
CN218723919U (zh) 一种用于光学应变测试的液氮冷热温箱
RU205572U1 (ru) Устройство для измерения теплового потока к поверхности материала, нагретого в струе высокоэнтальпийного газа до высоких температур
AU672041B2 (en) Radiant heating furnace
SU637731A1 (ru) Устройство дл измерени лучистой составл ющей
JPH0410556Y2 (ko)

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20091117

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee