KR20220119586A - 증기 전달을 위한 시스템들 및 방법들 - Google Patents

증기 전달을 위한 시스템들 및 방법들 Download PDF

Info

Publication number
KR20220119586A
KR20220119586A KR1020220103743A KR20220103743A KR20220119586A KR 20220119586 A KR20220119586 A KR 20220119586A KR 1020220103743 A KR1020220103743 A KR 1020220103743A KR 20220103743 A KR20220103743 A KR 20220103743A KR 20220119586 A KR20220119586 A KR 20220119586A
Authority
KR
South Korea
Prior art keywords
gas
manifold
valve
distribution device
post
Prior art date
Application number
KR1020220103743A
Other languages
English (en)
Other versions
KR102569479B1 (ko
Inventor
준 첸
강후
프루쇼탐 쿠마
끌로에 발다세로니
헤더 랜디스
앤드류 켄이치 듀발
모하메드 사브리
라메시 찬드라세카란
카를 리저
샹카 스와미나단
데이비드 스미스
제레미아 볼드윈
이쉬월 랑가나단
애드리언 라보이
프랭크 파스콸레
하종석
배인기
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220119586A publication Critical patent/KR20220119586A/ko
Priority to KR1020230107680A priority Critical patent/KR20230124534A/ko
Application granted granted Critical
Publication of KR102569479B1 publication Critical patent/KR102569479B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

증기 전달 시스템은 액체 전구체를 저장하기 위한 앰플 및 액체 전구체를 부분적으로 기화하기 위한 히터를 포함한다. 제 1 밸브는 푸시 가스 소스 및 앰플과 연통한다. 제 2 밸브는 가열된 주입 매니폴드에 기화된 전구체를 공급한다. 밸브 매니폴드는 가열된 주입 매니폴드의 유출부와 유체 연통하는 제 1 노드, 제 1 노드와 유체 연통하는 유입부 및 진공과 유체 연통하는 유출부를 갖는 제 3 밸브, 제 1 노드와 유체 연통하는 유입부 및 제 2 노드와 유체 연통하는 유출부를 갖는 제 4 밸브, 제 2 노드와 유체 연통하는 유출부를 갖는 제 5 밸브 및 제 2 노드와 유체 연통하는 유출부를 갖는 제 6 밸브를 포함한다. 가스 분배 디바이스는 제 2 노드와 유체 연통한다.

Description

증기 전달을 위한 시스템들 및 방법들{SYSTEMS AND METHODS FOR VAPOR DELIVERY}
본 개시는 기판 프로세싱 시스템들에 관련되고, 보다 구체적으로 기판 프로세싱 시스템들에서 증기 전달을 위한 시스템들 및 방법들에 관련된다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 기판 상에 막의 증착 및/또는 에칭을 수행하기 위해 사용될 수도 있다. 기판 프로세싱 시스템들은 통상적으로 페데스탈, 정전 척, 플레이트, 등과 같은 기판 지지부를 갖는 프로세싱 챔버를 포함한다. 반도체 웨이퍼와 같은 기판은 기판 지지부 상에 배열될 수도 있다. CVD (chemical vapor deposition) 또는 ALD (atomic layer deposition) 프로세스들에서, 하나 이상의 전구체들을 포함하는 가스 혼합물은 기판 상에 막을 증착하기 위해 프로세싱 챔버 내로 도입될 수도 있다. 일부 기판 프로세싱 시스템들에서, RF (radio frequency) 플라즈마가 화학 반응들을 활성화하기 위해 사용될 수도 있다.
가스 전구체들 일부는 액체를 기화함으로써 생성된다. 이 방법은 종종 실리콘 옥사이드 증착과 같은 ALD 증착에 사용된다. 그러나, 이 방법은 통상적으로 액체의 불완전한 기화로 인해 높은 디펙트 수들 및 펄싱된 액체 플로우가 종종 제어하기 어렵기 때문에 보다 높은 실행 비용들을 갖는다.
기판 프로세싱 시스템을 위한 증기 전달 시스템은 액체 전구체를 저장하기 위한 앰플 및 상기 액체 전구체를 적어도 부분적으로 기화하기 위해 미리 결정된 온도로 상기 앰플을 선택적으로 가열하기 위한 히터를 포함한다. 가열된 주입 매니폴드는 유입부 및 유출부를 포함한다. 제 1 밸브는 푸시 가스 소스와 유체 연통하는 유입부 및 상기 앰플과 유체 연통하는 유출부를 갖는다. 제 2 밸브는 상기 앰플로부터 기화된 전구체를 수용하기 위한 유입부 및 상기 가열된 주입 매니폴드의 상기 유입부와 유체 연통하는 유출부를 갖는다. 밸브 매니폴드는 상기 가열된 주입 매니폴드의 유출부와 유체 연통하는 제 1 노드, 상기 제 1 노드와 유체 연통하는 유입부 및 진공과 유체 연통하는 유출부를 갖는 제 3 밸브, 상기 제 1 노드와 유체 연통하는 유입부 및 제 2 노드와 유체 연통하는 유출부를 갖는 제 4 밸브, 상기 제 2 노드와 유체 연통하는 유출부를 갖는 제 5 밸브, 및 상기 제 2 노드와 유체 연통하는 유출부를 갖는 제 6 밸브를 포함한다. 가스 분배 디바이스는 상기 제 2 노드와 유체 연통한다.
다른 특징들에서, 가스 분배 디바이스는 샤워헤드를 포함한다. 제 7 밸브는 제 2 밸브의 유출부와 유체 연통하는 유입부를 갖는다. 제한된 오리피스는 제 2 밸브의 유출부와 유체 연통한다. 제 8 밸브는 제한된 오리피스와 유체 연통하는 유입부 및 가열된 주입 매니폴드와 유체 연통하는 유출부를 갖는다.
다른 특징들에서, 제 9 밸브는 제 1 가스 매니폴드와 유체 연통하는 유입부 및 제 5 밸브와 유체 연통하는 유출부를 갖는다. 제 10 밸브는 제 1 가스 매니폴드와 유체 연통하는 유입부 및 제 1 가스 매니폴드로부터 가스 분배 디바이스의 후면으로 가스를 공급하는 유출부를 갖는다.
다른 특징들에서, 제 10 밸브는 제 2 가스 매니폴드 및 제 6 밸브의 유입부와 유체 연통하는 유입부 및 진공 소스와 유체 연통하는 유출부를 갖는다.
다른 특징들에서, 제어기는 도즈 단계 동안, 상기 제 1 밸브를 사용하여 상기 앰플에 푸시 가스를 공급하고; 상기 제 2 밸브, 상기 제 7 밸브, 상기 제한된 오리피스 및 상기 제 8 밸브를 사용하여 상기 앰플로부터 상기 가열된 주입 매니폴드로 상기 기화된 전구체를 공급하고; 상기 제 4 밸브를 사용하여 상기 가열된 주입 매니폴드로부터 상기 가스 분배 디바이스로 상기 기화된 전구체를 공급하고; 그리고 상기 제 10 밸브를 사용하여 상기 제 2 가스 매니폴드를 방향 전환하도록 (divert) 구성된다.
다른 특징들에서, 도즈 단계 후에, 제어기는 도즈 퍼지 단계, 도즈 퍼지 후 단계, RF (radio frequency) 단계 및 RF 후 단계로 순차적으로 동작한다.
기판 프로세싱 시스템을 위한 증기 전달 시스템을 동작시키기 위한 방법은, 앰플에 액체 전구체를 저장하는 단계; 액체 전구체를 적어도 부분적으로 기화시키기에 충분한 미리 결정된 온도로 앰플을 가열하는 단계; 복수의 프로세싱 단계들에서 동작하는 단계; 복수의 프로세싱 단계들 중 적어도 하나의 단계 동안, 선택적으로, 앰플로부터 푸시 가스 및 기화된 전구체를 가열된 주입 매니폴드로 공급하기 위해 푸시 가스를 앰플로 공급하고, 기화된 전구체를 갖지 않는 푸시 가스를 가열된 주입 매니폴드에 공급하기 위해 앰플 주위의 푸시 가스를 바이패스하고, 그리고 푸시 가스 또는 기화된 전구체를 가열된 주입 매니폴드에 공급하지 않도록 푸시 가스를 진공으로 방향 전환하는 단계 중 적어도 하나의 단계; 복수의 프로세싱 단계들 중 적어도 하나의 단계 동안, 프로세싱 챔버의 가스 분배 디바이스에 연결된 밸브 매니폴드를 사용하여 가열된 주입 매니폴드로부터의 가스를 선택적으로 수용하는 단계; 복수의 프로세싱 단계들 중 적어도 하나의 단계 동안, 밸브 매니폴드를 사용하여 가열된 주입 매니폴드로부터의 가스를 진공으로 선택적으로 방향 전환하는 단계; 복수의 프로세싱 단계들 중 적어도 하나의 단계 동안, 밸브 매니폴드를 사용하여 가열된 주입 매니폴드로부터 가스 분배 디바이스로 가스를 선택적으로 공급하는 단계; 복수의 프로세싱 단계들 중 적어도 하나의 단계 동안, 밸브 매니폴드를 사용하여 제 1 가스 매니폴드로부터 가스 분배 디바이스로 가스를 선택적으로 공급하는 단계; 및 복수의 프로세싱 단계들 중 적어도 하나의 단계 동안, 밸브 매니폴드를 사용하여 제 2 가스 매니폴드로부터 가스 분배 디바이스로 가스를 선택적으로 공급하는 단계를 포함한다.
다른 특징들에서, 가스 분배 디바이스는 샤워헤드를 포함한다. 도즈 단계 동안, 푸시 가스를 앰플로 공급하는 단계; 앰플로부터 기화된 전구체를 가열된 주입 매니폴드로 공급하는 단계; 가열된 주입 매니폴드로부터 가스 분배 디바이스로 기화된 전구체를 공급하는 단계; 및 제 2 가스 매니폴드를 방향 전환하는 단계를 포함한다.
다른 특징들에서, 도즈 단계 후에, 도즈 퍼지 단계, 도즈 퍼지 후 단계, RF 단계 및 RF 후 단계로 순차적으로 동작한다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 예시만을 목적으로 의도되고 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 기판 프로세싱 시스템의 기능적 블록도이다.
도 2는 본 개시에 따른 기판 프로세싱 시스템을 위한 증기 전달 시스템의 예의 기능적 블록도이다.
도 3은 증기 전달 시스템의 밸브들을 개방하고 폐쇄하는 타이밍의 예를 도시하는 타이밍도이다.
도 3a 내지 도 3e는 도 3의 다양한 단계들에서 증기 전달 시스템의 밸브들의 동작을 도시한다.
도 4는 증기 전달 시스템의 밸브들을 개방하고 폐쇄하는 타이밍의 또 다른 예를 도시하는 타이밍도이다.
도 5는 증기 전달 시스템의 밸브들을 개방하고 폐쇄하는 타이밍의 또 다른 예를 도시하는 타이밍도이다.
도 5a 내지 도 5e는 도 5의 다양한 단계들에서 증기 전달 시스템의 밸브들의 동작을 도시한다.
도 6은 증기 전달 시스템의 밸브들을 개방하고 폐쇄하는 타이밍의 또 다른 예를 도시하는 타이밍도이다.
도 6a 내지 도 6e는 도 6의 다양한 단계들에서 증기 전달 시스템의 밸브들의 동작을 도시한다.
도 7은 증기 전달 시스템의 밸브들을 개방하고 폐쇄하는 타이밍의 또 다른 예를 도시하는 타이밍도이다.
도 7a 내지 도 7e는 도 7의 다양한 단계들에서 증기 전달 시스템의 밸브들의 동작을 도시한다.
도 8은 증기 전달 시스템의 밸브들을 개방하고 폐쇄하는 타이밍의 또 다른 예를 도시하는 타이밍도이다.
도 8a 내지 도 8e는 도 8의 다양한 단계들에서 증기 전달 시스템의 밸브들의 동작을 도시한다.
도 9는 증기 전달 시스템의 밸브들을 개방하고 폐쇄하는 타이밍의 또 다른 예를 도시하는 타이밍도이다.
도 9a 내지 도 9e는 도 9의 다양한 단계들에서 증기 전달 시스템의 밸브들의 동작을 도시한다.
도 10은 증기 전달 시스템의 밸브들을 개방하고 폐쇄하는 타이밍의 또 다른 예를 도시하는 타이밍도이다.
도 10a 내지 도 10e는 도 10의 다양한 단계들에서 증기 전달 시스템의 밸브들의 동작을 도시한다.
도 11은 증기 전달 시스템의 밸브들을 개방하고 폐쇄하는 타이밍의 또 다른 예를 도시하는 타이밍도이다.
도 11a 내지 도 11e는 도 11의 다양한 단계들에서 증기 전달 시스템의 밸브들의 동작을 도시한다.
도 12는 본 개시에 따른 제어기의 기능적 블록도이다.
도 13은 도 12의 제어기를 동작시키기 위한 방법의 예를 예시하는 플로우차트이다.
도면들에서 유사하고/하거나 동일한 엘리먼트들을 식별하기 위해 참조 번호들이 재사용될 수도 있다.
관련 출원의 교차 참조
본 출원은 2014년 8월 1일 출원된 미국 가 특허 출원 번호 제 62/032,234 호의 이익을 주장한다. 상기 출원의 전체 개시는 본 명세서에 참조로서 인용된다.
실리콘 옥사이드와 같은 막의 원자층 증착 (atomic layer deposition) 을 위해 상이한 가스 전구체들이 사용된다. 일부 시스템들에서, 가스 전구체들은 기화된 액체들로서 전달될 수도 있다. 이 방법을 사용하는 종래의 시스템들은 통상적으로 액체 전구체들의 불충분한 기화, 기판 프로세싱 시스템의 냉각 점들에서의 응결 및 분해로 인해 높은 디펙트 수들을 갖는다. 안정한 막 속성들을 획득하기 위한 가스 전구체들의 연속적인 액체 플로우 특성으로 인해, 가스 전구체들의 60 % 이상이 비도즈 단계들 동안 소비되어, 높은 작동 비용을 발생시킨다.
증기 인출 또는 증기 인출을 통한 플로우 (또는 스윕핑 가스) 시스템들이 사용될 때에도, 시스템의 전도도에 대한 플로우 레이트의 의존성 및 전도도 변동들을 교정하기 위한 방법의 부재로 인해 주어진 시스템 또는 시스템들의 집단 상에서 플로우의 제작 가능성 및 반복성은 보장되지 않는다. 실제 액체-증기 계면에서의 온도에 대해 온도가 센싱되는 위치 간의 온도 변동들로 인해 다른 문제들이 발생한다.
또한, 증기 인출 또는 증기를 통한 플로우를 사용하는 기판 프로세싱 시스템들은, 통상적으로 저비용으로 고속 사이클링을 실현하기 위해 전구체로 완전히 충전된 가스 분배 디바이스 (예컨대 샤워헤드) 로의 라인 업 (line up) 을 사용하는 모드들을 포함하는 복수의 모드들에서 실행할 능력을 갖지 않는다.
본 개시에 따른 기판 프로세싱 시스템을 위한 증기 전달 시스템은 불활성 캐리어 가스를 사용하는 가열된 증기 인출 방법을 사용하여 가스 전구체를 전달한다. 액체 전구체를 저장하는 앰플은 미리 결정된 증기압을 유지하기 위해 가열된다. 캐리어 가스는 안정한 전구체 플로우를 유지하기 위해 앰플을 통해 흐른다. 전달 라인들을 따라 충분한 구배의 가열 및 정확한 온도 제어는 응결 및 분해를 방지한다.
본 개시에 따른 증기 전달 시스템은 또한 전구체 소비를 감소시키기 위해 상이한 도즈 시퀀스를 제공한다. 액체 전달 시, LFC (liquid flow control) 신속 토글링 (toggling) 이 어려운 특성으로 인해, 안정한 막 속성들을 달성하기 위해 연속적인 플로우가 사용된다. 증기 전달 시, 연속적인 캐리어 가스가 유지되고 전구체 증기만이 도즈 단계 동안 도입된다. 일부 예들에서, 전구체 소비는 연속적인 플로우 방법들과 비교하여 50 % 이상 감소될 수도 있다.
액체 주입 전달과 비교될 때, 본 명세서에 기술된 증기 캐리어 가스 방법은 완전한 기화, 낮은 응결 및 낮은 분해 위험을 갖는 안정한 전구체 플로우를 제공하여, 디펙트들을 감소시킨다. 가열된 주입 매니폴드 (HIM: liquid flow control) 으로 직접적인 액체 주입 및 HIM 또는 샤워헤드와 같은 가스 분배 디바이스에서의 증기화를 사용하는 대신, 증기는 불활성 캐리어 가스에 의해 HIM로 바로 이동된다.
본 개시에 따른 증기 전달 시스템은 또한 도즈 단계에서 안정한 플로우를 유지하고 다른 단계들에서 전구체를 절약하기 위해 밸브 및 도즈 플로우 타이밍을 제공한다. 예를 들어 일부 구현예들에서, 전구체 플로우는 도즈 단계에서만 도입되고 다른 단계들에서는 중단된다. 일부 구현예들에서, 안정한 전구체 증기 전달을 위해 안정한 캐리어 가스 플로우를 유지하도록 캐리어 가스에 대한 방향 전환 (divert) 밸브가 도입된다. 일부 구현예들에서, 가스 분배 디바이스로의 라인 업이 완전히 충전되고, 이는 가스 분배 디바이스에 상대적으로 가깝게 근접하여 배열된 밸브 레이아웃 및 밸브 매니폴드로 인해 구현될 수 있다. 본 명세서에 개시된 증기 전달 시스템들은, 저비용을 지속하면서 전구체 단계와 퍼지 단계 사이 또는 전구체 단계와 RF 단계 사이의 가장 빠른 사이클링을 가능하게 한다.
앰플로부터 프로세싱 챔버로의 플로우 레이트는 전도도의 함수이다. 일정한 전도도를 유지하기 위해, 다양한 조치들이 취해진다. 구동 압력은 앰플 내에 일정한 온도를 유지함으로써 제어되고, 이는 일정한 증기압을 제공한다. 앰플의 다운스트림에서의 전도도는 일정한 전도도로 조정가능하다.
일부 예들에서, 앰플은 히터 재킷 (heater jacket) 에서 뿐만 아니라 액체에서의 온도 측정치를 갖는다. 연속적인 재충진 시스템이 사용될 수도 있다. 일부 예들에서, 연속적인 재충진 시스템은 초음파 센서를 포함한다. 써모커플들과 같은 온도 센서들에 의해 온도 모니터링이 수행될 수도 있다. 예를 들어, 제 1 온도 센서는 목표 액체 레벨 (예를 들어 전체 앰플의 대략 50 %) 에 위치될 수도 있고 제 2 온도 센서는 재충진 유출부에 가까운 앰플의 하단부에 위치될 수도 있다.
증기압이 액체와 증기의 계면에서의 온도에 의존하기 때문에, 증기 전달 시스템은 액체 레벨에서의 온도 센서로부터의 온도 판독치들에 기초하여 제어된다. 액체 재충진이 발생할 때, 가열된 액체의 온도는 떨어진다. 따라서, 재충진 동안 및/또는 재충진 후 미리 결정된 기간 동안, 증기 전달 시스템은 재충진 동안 제 2 온도 센서에 의해 생성된 온도 또는 제 1 온도 센서 및 제 2 온도 센서의 함수에 기초하여 제어될 수도 있다. 대안적으로, 2 이상의 별도의 온도 센서들을 갖는 2 이상의 히터 존들이, 해당 존들에 일정한 온도를 제공하기 위해 액체의 온도를 제어하도록 사용될 수 있다.
상기 변화들의 조합은 시간에 따라 그리고 툴들에 걸쳐 앰플로부터 반복가능한 플로우 레이트들로 이끄는 개선된 온도 및 전도도 제어를 가능하게 한다.
이제 도 1을 참조하면, 기판 프로세싱 시스템 (10) 의 예가 도시된다. 기판 프로세싱 시스템 (10) 은 프로세싱 챔버 (12) 를 포함한다. 가스는 샤워헤드 또는 다른 디바이스와 같은 가스 분배 디바이스 (14) 를 사용하여 프로세싱 챔버 (12) 에 공급될 수도 있다. 반도체 웨이퍼와 같은 기판 (18) 은 프로세싱 동안 기판 지지부 (16) 상에 배열될 수도 있다. 기판 지지부 (16) 는 페데스탈, 정전 척, 기계적 척, 또는 다른 유형의 기판 지지부를 포함할 수도 있다.
하나 이상의 가스 전달 시스템들 (20) 이 제공될 수도 있다. 예를 들어, 가스 전달 시스템 (20) 은 하나 이상의 가스 소스들 (22-1, 22-2, .., 및 22-N)(집합적으로 가스 소스들 (22)) 을 포함할 수도 있고, 여기서 N은 1보다 큰 정수이다. 밸브들 (24-1, 24-2, .., 및 24-N)(집합적으로 밸브들 (24)), 질량 유량 제어기들 (MFC들: mass flow controller) (26-1, 26-2, .., 및 26-N)(집합적으로 MFC들 (26)), 또는 다른 플로우 제어 디바이스들이, 전구체, 반응 가스들, 불활성 가스들, 퍼지 가스들, 및 이들의 혼합물들을, 가스 혼합물을 프로세싱 챔버 (12) 로 공급하는 매니폴드 (30) 에 제어가능하게 공급하도록 사용될 수도 있다.
제어기 (40) 는 (센서들 (41) 을 사용하여) 온도, 압력 등과 같은 프로세스 파라미터들을 모니터링하고 프로세스 타이밍을 제어하기 위해 사용될 수도 있다. 제어기 (40) 는 밸브들, 가스 전달 시스템 (20), 페데스탈 히터 (42), 및/또는 플라즈마 생성기 (46) 와 같은 프로세스 디바이스들을 제어하기 위해 사용될 수도 있다. 제어기 (40) 는 또한 밸브 (50) 및 펌프 (52) 를 사용하여 프로세싱 챔버 (12) 를 배기하도록 사용될 수도 있다.
RF 플라즈마 생성기 (46) 는 프로세싱 챔버 내에서 RF 플라즈마를 생성한다. RF 플라즈마 생성기 (46) 는 유도성 타입 또는 용량성 타입 RF 플라즈마 생성기일 수도 있다. 일부 예들에서, RF 플라즈마 생성기 (46) 는 RF 공급부 (60) 및 매칭 및 분배 네트워크 (64) 를 포함할 수도 있다. RF 플라즈마 생성기 (46) 는 접지되거나 플로팅하는 페데스탈을 사용하여 가스 분배 디바이스 (14) 에 연결된 것으로 도시되고, RF 플라즈마 생성기 (46) 는 기판 지지부 (16) 에 연결될 수 있고 가스 분배 디바이스 (14) 는 접지되거나 플로팅할 수도 있다.
이제 도 2를 참조하면, 본 개시에 따른 증기 전달 시스템 (100) 은 액체 전구체를 기화함으로써 하나 이상의 가스 전구체들을 공급하도록 사용될 수도 있다. 푸시 가스 소스 (110) 는 밸브 (V215), 질량 유량 제어기 (MFC) (114), 및 밸브 (V210) 를 사용하여 노드 (115) 로 푸시 가스를 공급할 수도 있다. 단지 예를 들면, 밸브들 각각은 전기적으로 제어될 수도 있고 유입부, 유출부, 및 하나 이상의 단자들을 포함할 수도 있다. 밸브 (V202) 는 노드 (115) 에서 가스를 진공으로 방향 전환하기 위해 선택적으로 사용될 수도 있다. 노드 (115) 는 또한 밸브 (V214) 에 의해 액체 전구체 (120) 를 저장하는 앰플 (118) 에 연결된다. 동작 동안, 푸시 가스는 밸브 (V214) 에 공급되고 기화된 전구체는 밸브 (V205) 를 사용하여 앰플 (118) 외부로 흐를 수도 있다. 바이패스 밸브 (V213) 는 노드 (115) 및 밸브 (V205) 에 연결된 노드 (116) 에 연결될 수도 있다.
앰플 (118) 은 액체 전구체 (120) 의 온도를 제어하는 하나 이상의 히터들 (121) 을 포함할 수도 있다. 하나 이상의 온도 센서들 또는 써모커플들 (123 및 125) 이 앰플 (118) 내부의 다양한 위치들에서 액체 전구체의 온도를 센싱하기 위해 제공될 수도 있다. 예를 들어, 온도 센서 (123) 는 목표 충진 레벨에 배열될 수도 있고 온도 센서 (125) 는 앰플 (118) 의 하단부에 배열될 수도 있다.
초음파 센서 또는 다른 레벨 센서와 같은 레벨 센서 (127) 가 앰플 (118) 내의 전구체의 레벨을 센싱하기 위해 제공될 수도 있다. 전구체 공급부 (129) 는 앰플 (118) 내의 전구체의 레벨에 기초하여 필요에 따라 앰플 (118) 을 선택적으로 재충진하기 위해 앰플 (118) 에 전구체를 공급하도록 사용될 수도 있다. 일부 예들에서, 전구체 공급부 (129) 는 밸브 (131), 펌프 (133) 및 벌크 저장장치 (135) 를 포함할 수도 있지만, 다른 방법들이 사용될 수도 있다.
밸브 (V206), 제한된 오리피스 (142), 및 밸브 (V55) 는 노드 (116) 를 가열된 주입매니폴드 (HIM) (134) 에 연결하기 위해 사용될 수도 있다. 제한된 오리피스 (142) 는 고정된 제한된 오리피스, 가변적인 제한된 오리피스 또는 고정된 제한된 오리피스와 가변적인 제한된 오리피스의 조합을 포함할 수도 있다. HIM (134) 은 앰플 (118) 로부터 기화된 전구체를 수용하기 위한 제 1 유입부 및 유출부를 포함한다. 매니폴드 (144) 는 밸브 (V46) 에 의해 HIM (134) 에 연결될 수도 있다. 매니폴드 (144) 는 모든 스테이지들 동안, 또는 일부 스테이지들 동안 분자 질소 (N2) 또는/및 아르곤 (Ar) 과 같은 가스를 제공할 수도 있고 또는 어떠한 스테이지에서도 공급하지 않을 수도 있다. HIM (134) 은 또한 밸브 매니폴드 (146) 에 연결된다.
밸브 매니폴드 (146) 는 하나 이상의 밸브들을 포함할 수도 있다. 예를 들어, 밸브 매니폴드 (146) 는 밸브들 (V69, V164, V165 및 V166) 을 포함하는 것으로 도시된다. 매니폴드 (160) 는 밸브들 (V89 및 V165) 에 의해 노드 (163) 에 연결된다. 노드 (163) 는 또한 샤워헤드 (14) 에 연결된다. 밸브 (V69) 는 노드 (163), 매니폴드 (150) 및 매니폴드 (150) 로부터의 가스를 선택적으로 방향 전환하기 위해 제공될 수도 있는 방향 전환 밸브 (V167) 에 연결된다. 밸브 (V164) 의 유출부는 노드 (166) 에 연결되고 밸브 (V164) 의 유입부는 노드 (163) 에 연결된다. 밸브 (V166) 는 노드 (166) 를 제한된 오리피스 (145) 및 진공에 연결한다. 제한된 오리피스 (145) 는 고정된 제한된 오리피스, 가변적인 제한된 오리피스 또는 고정된 제한된 오리피스와 가변적인 제한된 오리피스의 조합을 포함할 수도 있다.
일부 예들에서 매니폴드 (171) 는 밸브 (V44) 에 의해 밸브들 (V89와 V165) 사이의 노드 (173) 에 선택적으로 연결된다. 매니폴드 (171) 는 밸브 (V36) 에 의해 진공에 선택적으로 연결된다. 일부 예들에서, 매니폴드는 아르곤 (Ar) 을 공급하지만, 다른 가스들이 공급될 수도 있다.
이제 도 3 및 도 3a 내지 도 3e를 참조하면, 도 2의 밸브들의 동작의 예가 도시된다. 도 3 및 도 3a에서, 도즈 단계 동안, 푸시 가스가 밸브 (V215), MFC (114), 밸브 (V210), 및 밸브 (V214) 를 사용하여 앰플 (118) 내로 공급된다. 기화된 전구체는 밸브 (V205) 를 사용하여 앰플 (118) 을 나가고 밸브 (V206), 제한된 오리피스 (142) 및 밸브 (V55) 를 사용하여 HIM (134) 으로 흐른다. 기화된 전구체는 밸브 매니폴드 (146) 를 통해 밸브 (V164) 를 사용하여 샤워헤드 (14) 로 계속된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다. 매니폴드 (150) 는 밸브 (V167) 에 의해 방향 전환된다.
도 3 및 도 3b에서, 도즈 퍼지 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브 (V210), 및 밸브 (V214) 를 사용하여 앰플 (118) 내로 공급된다. 기화된 전구체는 밸브 (V205) 를 사용하여 앰플 (118) 을 나가고 밸브 (V206), 제한된 오리피스 (142) 및 밸브 (V55) 를 사용하여 HIM (134) 으로 흐른다. HIM (134) 의 출력은 밸브 (V166) 및 제한 오리피스 (145) 에 의해 진공으로 방향 전환된다. 부가적으로, 매니폴드 (160) 의 출력은 밸브들 (V89 및 V165) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 매니폴드 (150) 의 출력은 밸브 (V167) 에 의해 방향 전환된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 3 및 도 3c에서, 도즈 퍼지 후 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브 (V210), 및 밸브 (V214) 를 사용하여 앰플 (118) 내로 공급된다. 기화된 전구체는 밸브 (V205) 를 사용하여 앰플 (118) 을 나가고 밸브 (V206), 제한된 오리피스 (142) 및 밸브 (V55) 를 사용하여 HIM (134) 으로 흐른다. HIM (134) 의 출력은 밸브 (V166) 및 제한 오리피스 (145) 에 의해 진공으로 방향 전환된다. 부가적으로, 매니폴드 (160) 의 출력은 밸브들 (V89 및 V165) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 매니폴드 (150) 의 출력부 밸브 (V69) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 3 및 도 3d에서, RF 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브 (V210), 및 밸브 (V214) 를 사용하여 앰플 (118) 내로 공급된다. 기화된 전구체는 밸브 (V205) 를 사용하여 앰플 (118) 을 나가고 밸브 (V206), 제한된 오리피스 (142) 및 밸브 (V55) 를 사용하여 HIM (134) 으로 흐른다. HIM (134) 의 출력은 밸브 (V166) 및 제한 오리피스 (145) 에 의해 진공으로 방향 전환된다. 매니폴드 (150) 의 출력은 밸브 (V69) 에 의해 샤워헤드 (14) 로 지향된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 3 및 도 3e에서, RF 후 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브 (V210), 및 밸브 (V214) 를 사용하여 앰플 (118) 내로 공급된다. 기화된 전구체는 밸브 (V205) 를 사용하여 앰플 (118) 을 나가고 밸브 (V206), 제한된 오리피스 (142) 및 밸브 (V55) 를 사용하여 HIM (134) 으로 흐른다. HIM (134) 의 출력은 밸브 (V166) 및 제한 오리피스 (145) 에 의해 진공으로 방향 전환된다. 매니폴드 (160) 의 출력은 밸브들 (V89 및 V165) 에 의해 샤워헤드 (14) 로 지향된다. 매니폴드 (150) 의 출력은 밸브 (V167) 에 의해 방향전환된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
단지 예를 들면, 푸시 가스 소스 (110) 는 아르곤과 같은 불활성 가스일 수 있지만, 다른 불활성 가스들 또는 푸시 가스들이 사용될 수도 있다. 매니폴드 (144) 는 분자 질소 (N2) 를 공급할 수도 있지만, 다른 가스들이 사용될 수도 있다. 밸브 (V162) 에 의해 공급된 퍼지 가스는 분자 질소 (N2) 를 포함할 수도 있지만, 다른 가스들이 사용될 수도 있다. 밸브들 (V89 및 V165) 에 의해 공급된 버스트 퍼지 가스는 Ar/N2을 포함할 수도 있지만, 다른 퍼지 가스들이 사용될 수도 있다. 매니폴드 (150) 는 O2/N2O/Ar와 같은 가스 혼합물을 공급할 수도 있지만, 다른 가스 혼합물들이 제공될 수도 있다.
이제 도 4를 참조하면, 동작은 도 3 및 도 3a 내지 도 3e에 대하여 상기에 기술된 것과 유사하다. 그러나, 낮은 플로우 전도도가 방향 전환 동안 밸브들 (V164 및 V166) 에 의해 유지된다.
이제 도 5 및 도 5a 내지 도 5e를 참조하면, 도 2의 밸브들의 동작의 예가 도시된다. 도 5 및 도 5a에서, 도즈 단계 동안, 푸시 가스가 밸브 (V215), MFC (114), 밸브 (V210), 및 밸브 (V214) 를 사용하여 앰플 (118) 내로 공급된다. 기화된 전구체는 밸브 (V205) 를 사용하여 앰플 (118) 을 나가고 밸브 (V206), 제한된 오리피스 (142) 및 밸브 (V55) 를 사용하여 HIM (134) 으로 흐른다. 기화된 전구체는 밸브 매니폴드 (146) 를 통해 밸브 (V164) 를 사용하여 샤워헤드 (14) 로 계속된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다. 매니폴드 (150) 는 밸브 (V167) 에 의해 방향 전환된다.
도 5 및 도 5b에서, 도즈 퍼지 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브 (V210), 밸브 (V214), 밸브 (V205) 및 밸브 (V55) 를 사용하여 HIM (134) 에 공급된다. HIM (134) 의 출력은 밸브 (V164) 에 의해 샤워헤드 (14) 로 공급된다. 부가적으로, 매니폴드 (160) 의 출력은 밸브들 (V89 및 V165) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 매니폴드 (150) 의 출력은 밸브 (V167) 에 의해 방향 전환된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 5 및 도 5c에서, 도즈 퍼지 후 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브 (V210), 밸브 (V214), 밸브 (V205) 및 밸브 (V55) 를 사용하여 HIM (134) 에 공급된다. HIM (134) 의 출력은 밸브 (V164) 에 의해 샤워헤드 (14) 로 공급된다. 부가적으로, 매니폴드 (160) 의 출력은 밸브들 (V89 및 V165) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 매니폴드 (150) 의 출력은 밸브 (V69) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 5 및 도 5d에서, RF 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브 (V210), 밸브 (V214), 밸브 (V205) 및 밸브 (V55) 를 사용하여 HIM (134) 에 공급된다. HIM (134) 의 출력은 밸브 (V164) 에 의해 샤워헤드 (14) 로 공급된다. 매니폴드 (150) 의 출력은 밸브 (V69) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 5 및 도 5e에서, RF 후 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브 (V210), 밸브 (V214), 밸브 (V205) 및 밸브 (V55) 를 사용하여 HIM (134) 으로 공급된다. HIM (134) 의 출력은 밸브 (V164) 에 의해 샤워헤드 (14) 로 공급된다. 매니폴드 (160) 의 출력은 밸브들 (V89 및 V165) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 매니폴드 (150) 의 출력은 밸브 (V167) 의해 방향 전환된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
이제 도 6 및 도 6a 내지 도 6e를 참조하면, 도 2의 밸브들의 동작의 예가 도시된다. 도 6 및 도 6a에서, 도즈 단계 동안, 푸시 가스가 밸브 (V215), MFC (114), 밸브 (V210), 및 밸브 (V214) 를 사용하여 앰플 (118) 내로 공급된다. 기화된 전구체는 밸브 (V205) 를 사용하여 앰플 (118) 을 나가고 밸브 (V206), 제한된 오리피스 (142) 및 밸브 (V55) 를 사용하여 HIM (134) 으로 흐른다. 기화된 전구체는 밸브 매니폴드 (146) 를 통해 밸브 (V164) 를 사용하여 샤워헤드 (14) 로 계속된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다. 매니폴드 (150) 는 밸브 (V167) 에 의해 방향 전환된다.
도 6 및 도 6b에서, 도즈 퍼지 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브 (V210), 밸브 (V214), 밸브 (V205) 및 밸브 (V55) 를 사용하여 HIM (134) 에 공급된다. HIM (134) 의 출력은 밸브 (V166) 에 의해 진공으로 방향 전환된다. 부가적으로, 매니폴드 (160) 의 출력은 밸브들 (V89 및 V165) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 매니폴드 (150) 의 출력은 밸브 (V167) 에 의해 방향 전환된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 6 및 도 6c에서, 도즈 퍼지 후 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브 (V210), 밸브 (V214), 밸브 (V205) 및 밸브 (V55) 를 사용하여 HIM (134) 에 공급된다. HIM (134) 의 출력은 밸브 (V166) 에 의해 진공으로 방향 전환된다. 부가적으로, 매니폴드 (160) 의 출력은 밸브들 (V89 및 V165) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 매니폴드 (150) 의 출력은 밸브 (V69) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 6 및 도 6d에서, RF 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브 (V210), 밸브 (V214), 밸브 (V205) 및 밸브 (V55) 를 사용하여 HIM (134) 에 공급된다. HIM (134) 의 출력은 밸브 (V166) 에 의해 진공으로 방향 전환된다. 매니폴드 (150) 의 출력은 밸브 (V69) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 6 및 도 6e에서, RF 후 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브 (V210), 밸브 (V214), 밸브 (V205) 및 밸브 (V55) 를 사용하여 HIM (134) 에 공급된다. HIM (134) 의 출력은 밸브 (V166) 에 의해 진공으로 방향 전환된다. 매니폴드 (160) 의 출력은 밸브들 (V89 및 V165) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 매니폴드 (150) 의 출력은 밸브 (V167) 에 의해 방향 전환된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
이제 도 7 및 도 7a 내지 도 7e를 참조하면, 도 2의 밸브들의 동작의 예가 도시된다. 도 7 및 도 7a에서, 도즈 단계 동안, 푸시 가스가 밸브 (V215), MFC (114), 밸브 (V210), 및 밸브 (V214) 를 사용하여 앰플 (118) 내로 공급된다. 기화된 전구체는 밸브 (V205) 를 사용하여 앰플 (118) 을 나가고 밸브 (V206), 제한된 오리피스 (142) 및 밸브 (V55) 를 사용하여 HIM (134) 으로 흐른다. 기화된 전구체는 밸브 매니폴드 (146) 를 통해 밸브 (V164) 를 사용하여 샤워헤드 (14) 로 계속된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다. 매니폴드 (150) 는 밸브 (V167) 에 의해 방향 전환된다.
도 7 및 도 7b에서, 도즈 퍼지 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 및 밸브 (V210) 를 사용하여 공급된다. 밸브 (V202) 는 푸시 가스를 진공으로 방향 전환한다. 부가적으로, 매니폴드 (160) 의 출력은 밸브들 (V89 및 V165) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 매니폴드 (150) 의 출력은 밸브 (V167) 에 의해 방향 전환된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 7 및 도 7c에서, 도즈 퍼지 후 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 및 밸브 (V210) 를 사용하여 공급된다. 밸브 (V202) 는 푸시 가스를 진공으로 방향 전환한다. 부가적으로, 매니폴드 (160) 의 출력은 밸브들 (V89 및 V165) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 매니폴드 (150) 의 출력은 밸브 (V69) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 7 및 도 7d에서, RF 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 및 밸브 (V210) 를 사용하여 공급된다. 밸브 (V202) 는 푸시 가스를 진공으로 방향 전환한다. 매니폴드 (150) 의 출력은 밸브 (V69) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 7 및 도 7e에서, RF 후 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브 (V210), 밸브 (V214), 밸브 (V205) 및 밸브 (V55) 를 사용하여 HIM (134) 로 공급된다. HIM (134) 의 출력은 밸브 (V166) 에 의해 진공으로 방향 전환된다. 매니폴드 (160) 의 출력은 밸브들 (V89 및 V165) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 매니폴드 (150) 의 출력은 밸브 (V167) 에 의해 방향 전환된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
이제 도 8 및 도 8a 내지 도 8e를 참조하면, 도 2의 밸브들의 동작의 예가 도시된다. 도 8 및 도 8a에서, 도즈 단계 동안, 푸시 가스가 밸브 (V215), MFC (114), 밸브 (V210), 및 밸브 (V214) 를 사용하여 앰플 (118) 내로 공급된다. 기화된 전구체는 밸브 (V205) 를 사용하여 앰플 (118) 을 나가고 밸브 (V206), 제한된 오리피스 (142) 및 밸브 (V55) 를 사용하여 HIM (134) 으로 흐른다. 부가적으로, 가스는 매니폴드 (144) 로부터 HIM (134) 으로 흐른다. 기화된 전구체는 밸브 매니폴드 (146) 를 통해 밸브 (V164) 를 사용하여 샤워헤드 (14) 로 계속된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다. 매니폴드 (150) 는 밸브 (V167) 에 의해 방향 전환된다.
도 8 및 도 8b에서, 도즈 퍼지 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 및 밸브 (V210) 를 사용하여 공급된다. 밸브 (V202) 는 푸시 가스를 진공으로 방향 전환한다. 매니폴드 (144) 에 의해 공급된 가스는 밸브 (V166) 에 의해 진공으로 재지향된다. 부가적으로, 매니폴드 (160) 의 출력은 밸브들 (V89 및 V165) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 매니폴드 (150) 의 출력은 밸브 (V167) 에 의해 방향 전환된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 8 및 도 8c에서, 도즈 퍼지 후 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 및 밸브 (V210) 를 사용하여 공급된다. 밸브 (V202) 는 푸시 가스를 진공으로 방향 전환한다. 매니폴드 (144) 에 의해 공급된 가스는 밸브 (V166) 에 의해 진공으로 재지향된다. 부가적으로, 매니폴드 (160) 의 출력은 밸브들 (V89 및 V165) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 매니폴드 (150) 의 출력은 밸브 (V69) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 8 및 도 8d에서, RF 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 및 밸브 (V210) 를 사용하여 공급된다. 밸브 (V202) 는 푸시 가스를 진공으로 방향 전환한다. 매니폴드 (144) 에 의해 공급된 가스는 밸브 (V166) 에 의해 진공으로 재지향된다. 매니폴드 (150) 의 출력은 밸브 (V69) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 8 및 도 8e에서, RF 후 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브 (V210), 밸브 (V214), 밸브 (V205) 및 밸브 (V55) 를 사용하여 HIM (134) 로 공급된다. 가스는 매니폴드 (144) 에 의해 HIM (134) 으로 공급된다. HIM (134) 의 출력은 밸브 (V166) 에 의해 진공으로 방향 전환된다. 매니폴드 (160) 의 출력은 는 밸브 (V89 및 V165) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 매니폴드 (150) 의 출력은 밸브 (V167) 에 의해 방향 전환된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
이제 도 9 및 도 9a 내지 도 9e를 참조하면, 도 2의 밸브들의 동작의 예가 도시된다. 도 9 및 도 9a에서, 도즈 단계 동안, 푸시 가스가 밸브 (V215), MFC (114), 밸브 (V210), 및 밸브 (V214) 를 사용하여 앰플 (118) 내로 공급된다. 기화된 전구체는 밸브 (V205) 를 사용하여 앰플 (118) 을 나가고 밸브 (V206), 제한된 오리피스 (142) 및 밸브 (V55) 를 사용하여 HIM (134) 으로 흐른다. 부가적으로, 가스는 매니폴드 (144) 로부터 밸브 (V46) 를 통해 HIM (134) 으로 흐른다. 기화된 전구체는 밸브 매니폴드 (146) 를 통해 밸브 (V164) 를 통해 샤워헤드 (14) 로 계속된다. 퍼지 가스는 밸브 (V162) 를 통해 매니폴드 (160) 로부터 샤워헤드 (14) 의 후면으로 공급될 수도 있다. 매니폴드 (150) 는 밸브 (V167) 에 의해 방향 전환된다.
도 9 및 도 9b에서, 도즈 퍼지 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브들 (V210, V213, V206, 및 V55) 을 사용하여 HIM (134) 으로 공급된다. 매니폴드 (144) 에 의해 공급된 가스는 또한 HIM (134) 으로 공급된다. HIM (134) 의 출력은 밸브 (V164B) 에 의해 샤워헤드 (14) 로 공급된다. 부가적으로, 매니폴드 (160) 의 출력은 밸브 (V89 및 V165B) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 매니폴드 (150) 의 출력은 밸브 (V167) 에 의해 방향 전환된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 9 및 도 9c에서, 도즈 퍼지 후 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브들 (V210, V213, V206, 및 V55) 을 사용하여 HIM (134) 으로 공급된다. 매니폴드 (144) 에 의해 공급된 가스는 또한 HIM (134) 으로 공급된다. HIM (134) 의 출력은 밸브 (V164B) 에 의해 샤워헤드 (14) 로 공급된다. 부가적으로, 매니폴드 (160) 의 출력은 밸브 (V89 및 V165B) 에 의해 노드 (163) 로 그리고 샤워헤드 (14) 내로 지향된다. 매니폴드 (150) 의 출력은 밸브 (V69B) 에 의해 샤워헤드 (14) 로 공급된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 9 및 도 9d에서, RF 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브들 (V210, V213, V206, 및 V55) 을 사용하여 HIM (134) 으로 공급된다. 매니폴드 (144) 에 의해 공급된 가스는 또한 HIM (134) 으로 공급된다. HIM (134) 의 출력은 밸브 (V166B) 에 의해 진공으로 방향 전환된다. 매니폴드 (150) 의 출력은 밸브 (V69B) 에 의해 샤워헤드 (14) 로 공급된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 9 및 도 9e에서, RF 후 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브들 (V210, V213, V206, 및 V55) 을 사용하여 HIM (134) 으로 공급된다. 매니폴드 (144) 에 의해 공급된 가스는 또한 HIM (134) 으로 공급된다. HIM (134) 의 출력은 밸브 (V166B) 에 의해 진공으로 방향 전환된다. 부가적으로, 매니폴드 (160) 의 출력은 밸브들 (V89 및 V165B) 에 의해 샤워헤드 (14) 내로 공급된다. 매니폴드 (150) 의 출력은 밸브 (V167) 에 의해 진공으로 방향 전환된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
단지 예를 들면, 도즈 단계는 0.4 초의 지속 기간을 가질 수도 있고, 도즈 퍼지 단계는 0 초의 지속 기간을 가질 수도 있고, 도즈 퍼지 후 단계는 0.4 초의 지속 기간을 가질 수도 있고, RF 단계는 0.6 초의 지속 기간을 가질 수도 있고, RF 후 단계는 0.1 초의 지속 기간을 가질 수도 있지만, 다른 지속 기간들이 사용될 수도 있다. 도즈 퍼지 단계는 일부 예들에서 0 또는 0이 아닌 지속 기간들을 가질 수도 있다.
이제 도 10 및 도 10a 내지 도 10e를 참조하면, 도 2의 밸브들의 동작의 예가 도시된다. 도 10 및 도 10a에서, 도즈 단계 동안, 푸시 가스가 밸브 (V215), MFC (114), 밸브 (V210), 및 밸브 (V214) 를 사용하여 앰플 (118) 내로 공급된다. 기화된 전구체는 밸브 (V205) 를 사용하여 앰플 (118) 을 나가고 밸브 (V206), 제한된 오리피스 (142) 및 밸브 (V55) 를 사용하여 HIM (134) 으로 흐른다. 부가적으로, 가스는 매니폴드 (144) 로부터 HIM (134) 으로 흐른다. 기화된 전구체는 밸브 매니폴드 (146) 를 통해 밸브 (V164B) 를 통해 샤워헤드 (14) 로 계속된다. 퍼지 가스는 밸브 (V162) 를 통해 샤워헤드 (14) 의 후면으로 공급될 수도 있다. 매니폴드 (150) 는 밸브 (V167) 에 의해 방향 전환된다.
도 10 및 도 10b에서, 도즈 퍼지 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브들 (V210, V213, V206, 및 V55) 을 사용하여 HIM (134) 으로 공급된다. 매니폴드 (144) 에 의해 공급된 가스는 또한 HIM (134) 으로 공급된다. HIM (134) 의 출력은 밸브 (V164B) 에 의해 샤워헤드 (14) 로 공급된다. 매니폴드 (150) 의 출력은 밸브 (V69B) 에 의해 샤워헤드 (14) 로 공급된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 10 및 도 10c에서, 도즈 퍼지 후 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브들 (V210, V213, V206, 및 V55) 을 사용하여 HIM (134) 으로 공급된다. 매니폴드 (144) 에 의해 공급된 가스는 또한 HIM (134) 으로 공급된다. HIM (134) 의 출력은 밸브 (V166B) 에 의해 진공으로 방향 전환된다. 매니폴드 (150) 의 출력은 밸브 (V69B) 에 의해 샤워헤드 (14) 로 공급된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 10 및 도 10d에서, RF 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 밸브들 (V210, V213, V206, 및 V55) 을 사용하여 HIM (134) 으로 공급된다. 매니폴드 (144) 에 의해 공급된 가스는 또한 HIM (134) 으로 공급된다. HIM (134) 의 출력은 밸브 (V166B) 에 의해 진공으로 방향 전환된다. 매니폴드 (150) 의 출력은 밸브 (V69B) 에 의해 샤워헤드 (14) 로 공급된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
도 10 및 도 10e에서, RF 후 단계 동안, 기화된 전구체는 밸브 (V215), MFC (114), 밸브들 (V210, V214, V205, V206, 및 V55) 을 사용하여 HIM (134) 으로 공급된다. 매니폴드 (144) 에 의해 공급된 가스는 또한 HIM (134) 으로 공급된다. HIM (134) 의 출력은 밸브 (V166B) 에 의해 진공으로 방향 전환된다. 매니폴드 (150) 의 출력은 밸브 (V69B) 에 의해 샤워헤드 (14) 로 공급된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다.
단지 예를 들면, 도즈 단계는 0.4 초의 지속 기간을 가질 수도 있고, 도즈 퍼지 단계는 0 초의 지속 기간을 가질 수도 있고, 도즈 퍼지 후 단계는 0.4 초의 지속 기간을 가질 수도 있고, RF 단계는 0.6 초의 지속 기간을 가질 수도 있고, RF 후 단계는 0.1 초의 지속 기간을 가질 수도 있지만, 다른 지속 기간들이 사용될 수도 있다. 도즈 퍼지 단계는 일부 예들에서 0 또는 0이 아닌 지속 기간들을 가질 수도 있다.
이제 도 11 및 도 11a 내지 도 11e를 참조하면, 도 2의 밸브들의 동작의 예가 도시된다. 도 11 및 도 11a에서, 도즈 단계 동안, 푸시 가스가 밸브 (V215), MFC (114), 밸브 (V210), 및 밸브 (V214) 를 사용하여 앰플 (118) 내로 공급된다. 기화된 전구체는 밸브 (V205) 를 사용하여 앰플 (118) 을 나가고 밸브 (V206), 제한된 오리피스 (142) 및 밸브 (V55) 를 사용하여 HIM (134) 으로 흐른다. 부가적으로, 가스는 매니폴드 (144) 로부터 HIM (134) 으로 흐른다. 기화된 전구체는 밸브 매니폴드 (146) 를 통해 밸브 (V164B) 를 통해 샤워헤드 (14) 로 계속된다. 퍼지 가스는 밸브 (V162) 를 통해 샤워헤드 (14) 의 후면으로 공급될 수도 있다. 매니폴드 (150) 는 밸브 (V167) 에 의해 방향 전환된다. 매니폴드 (171) 밸브 (V89) 가 폐쇄된 동안 밸브들 (V44 및 V165B) 을 통해 샤워헤드 (14) 로 가스를 공급한다. 일부 예들에서, 밸브는 아르곤 (Ar) 을 공급하지만, 다른 가스들이 사용될 수도 있다.
도 11 및 도 11b에서, 도즈 퍼지 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 및 밸브들 (V214, V213 및 V202) 의 일 측면으로의 밸브 (V210) 를 사용하여 공급된다. 매니폴드 (144) 에 의해 공급된 가스는 밸브 (V46) 에 의해 HIM (134) 으로 지향된다. HIM (134) 의 출력은 밸브들 (V166B 및 V164B) 의 일 측면으로 공급된다. 매니폴드 (150) 의 출력은 밸브 (V167) 에 의해 방향 전환된다. 가스는 매니폴드 (160) 에 의해 밸브들 (V89 및 V165B) 을 통해 샤워헤드 (14) 로 공급된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다. 매니폴드 (171) 는 밸브 (V36) 에 의해 진공으로 방향 전환된다.
도 11 및 도 11c에서, 도즈 퍼지 후 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 및 밸브들 (V214, V213 및 V202) 의 일 측면으로의 밸브 (V210) 를 사용하여 공급된다. 매니폴드 (144) 에 의해 공급된 가스는 밸브 (V46) 에 의해 HIM (134) 으로 지향된다. HIM (134) 의 출력은 밸브들 (V166B 및 V164B) 의 일 측면으로 공급된다. 매니폴드 (150) 의 출력은 밸브 (V69B) 에 의해 샤워헤드 (14) 로 공급된다. 가스는 매니폴드 (160) 에 의해 밸브들 (V89 및 V165B) 을 통해 샤워헤드 (14) 로 공급된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다. 매니폴드 (171) 는 밸브 (V36) 에 의해 진공으로 방향 전환된다.
도 11 및 도 11d에서, RF 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 및 밸브들 (V214, V213 및 V202) 의 일 측면으로의 밸브 (V210) 를 사용하여 공급된다. 매니폴드 (144) 에 의해 공급된 가스는 밸브 (V46) 에 의해 HIM (134) 으로 지향된다. HIM (134) 의 출력은 밸브들 (V166B 및 V164B) 의 일 측면으로 공급된다. 매니폴드 (150) 의 출력은 밸브 (V69B) 에 의해 샤워헤드로 공급된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다. 매니폴드 (171) 는 밸브 (V36) 에 의해 진공으로 방향 전환된다.
도 11 및 도 11e에서, RF 후 단계 동안, 푸시 가스는 밸브 (V215), MFC (114), 및 밸브들 (V214, V213 및 V202) 의 일 측면으로의 밸브 (V210) 를 사용하여 공급된다. 매니폴드 (144) 에 의해 공급된 가스는 밸브 (V46) 에 의해 HIM (134) 으로 지향된다. HIM (134) 의 출력은 밸브들 (V166B 및 V164B) 의 일 측면으로 공급된다. 매니폴드 (150) 의 출력은 밸브 (V167) 에 의해 진공으로 방향 전환된다. 가스는 매니폴드 (160) 에 의해 밸브들 (V89 및 V165B) 을 통해 샤워헤드 (14) 로 공급된다. 퍼지 가스는 밸브 (V162) 를 사용하여 샤워헤드 (14) 의 후면으로 공급될 수도 있다. 매니폴드 (171) 는 밸브 (V36) 에 의해 진공으로 방향 전환된다.
단지 예를 들면, 도즈 단계는 0.4 초의 지속 기간을 가질 수도 있고, 도즈 퍼지 단계는 0.3 초의 지속 기간을 가질 수도 있고, 도즈 퍼지 후 단계는 0.1 초의 지속 기간을 가질 수도 있고, RF 단계는 0.4 초의 지속 기간을 가질 수도 있고, RF 후 단계는 0.15 초의 지속 기간을 가질 수도 있지만, 다른 지속 기간들이 사용될 수도 있다.
이제 도 12를 참조하면, 제어기 (40) 는 히터 (121) 를 제어하기 위해 온도 피드백을 제공하도록 온도 센서들 또는 써모커플들 (123 및 125) 에 연결될 수도 있다. 제어기 (40) 는 또한 앰플 (118) 의 전구체 충진 레벨을 제어하기 위해 레벨 센서 (127) 와 통신할 수도 있다. 제어기 (40) 는 또한 증기 전달 시스템의 하나 이상의 라인들 내에 위치된 압력 조절기들 (271) 의 조정을 가능하게 하도록 하나 이상의 압력 센서들 (270) 을 모니터링할 수도 있다. 제어기 (40) 는 또한 라인의 전도도를 조정하기 위해 제한된 오리피스 (142) 를 제어하도록 사용될 수도 있다. 일부 예들에서, 제한된 오리피스 (142) 는 하나 이상의 시스템 센서들로부터의 피드백에 기초하여 조정될 수도 있다. 제어기 (40) 는 또한 일반적으로 참조 번호 274로 식별된 밸브들 및 MFC (114) 와 통신한다. 제어기 (40) 는 매니폴드들 (132, 150 및 160) 과 연관된 하나 이상의 가스 전달 시스템들 (집합적으로 280으로 식별됨) 과 통신한다.
이제 도 13을 참조하면, 밸브들을 제어하기 위한 방법의 예가 도시된다. 320에서, 제어는 증기가 전달되어야 하는지 결정한다. 그렇다면, 제어는 324에서 계속되고 도즈 단계 동안 밸브들을 제어한다. 326에서 결정된 대로 도즈 단계가 종료되면, 제어는 330에서 계속되고 제어는 도즈 퍼지 단계 동안 밸브들을 제어한다. 334에서 결정된 대로 도즈 퍼지 단계가 종료되면, 제어는 338에서 계속되고 제어는 도즈 퍼지 후 단계 동안 밸브들을 제어한다. 340에서 결정된 대로 도즈 퍼지 후 단계는 종료되면, 제어는 344에서 계속되고 제어는 RF 단계 동안 밸브들을 제어한다. 348에서 결정된 대로 RF 단계가 종료되면, 제어는 352로 계속되고 제어는 RF 후 단계 동안 밸브들을 제어한다. 356에서 결정된 대로 RF 후 단계가 종료되면, 제어는 종료된다. 방법은 기판에 대해 1 회 이상 반복될 수도 있다.
전술한 기술은 단순히 특성을 예시하는 것이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특별한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리를 변경하지 않고 다른 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다.
이하의 정의들을 포함하는 본 출원에서, 용어 제어기는 용어 회로로 대체될 수도 있다. 용어 제어기는 ASIC (Application Specific Integrated Circuit); 디지털, 아날로그, 또는 혼합된 아날로그/디지털 개별 회로; 디지털, 아날로그, 또는 혼합된 아날로그/디지털 집적 회로; 조합형 논리 회로; FPGA (field programmable gate array); 코드를 실행하는 (공유된, 전용, 또는 그룹) 프로세서 회로; 프로세서 회로에 의해 실행된 코드를 저장하는 (공유된, 전용, 또는 그룹) 메모리 회로; 기술된 기능을 제공하는 다른 적합한 하드웨어 컴포넌트들; 또는 시스템-온-칩과 같은, 상기한 것들의 일부 또는 전부의 조합을 지칭하고, 이의 일부일 수도 있고, 또는 이를 포함할 수도 있다.
제어기는 하나 이상의 인터페이스 회로들을 포함할 수도 있다. 일부 예들에서, 인터페이스 회로들은 LAN (local area network), 인터넷, WAN (wide area network), 또는 이들의 조합들에 접속된 유선 인터페이스 또는 무선 인터페이스를 포함할 수도 있다. 본 개시의 임의의 주어진 제어기의 기능은 인터페이스 회로들을 사용하여 접속된 복수의 제어기들 중에 분배될 수도 있다. 예를 들어, 복수의 제어기들은 로드 밸런싱을 허용할 수도 있다. 추가의 예에서, 서버 (또한 원격 또는 클라우드로 공지됨) 제어기는 클라이언트 제어기를 대신하여, 일부 기능을 달성할 수도 있다.
상기에 사용된 바와 같이, 용어 코드는 소프트웨어, 펌웨어, 및/또는 마이크로코드를 포함할 수도 있고, 프로그램들, 루틴들, 함수들, 클래스들, 데이터 구조체들, 및/또는 객체들을 지칭할 수도 있다. 용어 공유된 프로세서 회로는 복수의 제어기들로부터의 일부 또는 모든 코드를 실행하는 단일 프로세서 회로를 포괄한다. 용어 그룹 프로세서 회로는 추가적인 프로세서 회로들과 조합하여, 하나 이상의 제어기들로부터의 일부 또는 모든 코드를 실행하는 프로세서 회로를 포괄한다. 복수의 프로세서 회로들에 대한 참조들은 개별 다이 상의 복수의 프로세서 회로들, 단일 다이 상의 복수의 프로세서 회로들, 단일 프로세서 회로의 복수의 코어들, 단일 프로세서 회로의 복수의 쓰레드들, 또는 상기한 것들의 조합을 포괄한다. 용어 공유된 메모리 회로는 복수의 제어기들로부터의 일부 또는 모든 코드를 저장하는 단일 메모리 회로를 포괄한다. 용어 그룹 메모리 회로는 추가적인 메모리들과 조합하여, 하나 이상의 제어기들로부터의 일부 또는 모든 코드를 저장하는 메모리 회로를 포괄한다.
용어 메모리 회로는 용어 컴퓨터 판독가능 매체의 서브세트이다. 본 명세서에서 사용된 바와 같이, 용어 컴퓨터 판독가능 매체는 매체를 통해 (예를 들어, 반송파 상에서) 전파되는 일시적인 전자 신호 및 전자기 신호는 포괄하지 않는다; 따라서 용어 컴퓨터 판독가능 매체는 유형이고 비일시적인 것으로 간주될 수도 있다. 비일시적인, 유형의 컴퓨터 판독가능 매체의 비제한적인 예들은 (플래시 메모리 회로 또는 마스크 판독 전용 메모리 회로와 같은) 비휘발성 메모리 회로들, (SRAM (static random access memory) 회로 또는 DRAM (dynamic random access memory) 회로와 같은) 휘발성 메모리, (자기 테이프 또는 하드 디스크 드라이브와 같은) 자기 저장장치 및 광학 저장장치와 같은 2차 저장장치를 포함한다.
본 출원에 기술된 장치들 및 방법들은 컴퓨터 프로그램들로 구현된 하나 이상의 특정한 기능들을 실행하기 위해 범용 컴퓨터를 구성함으로써 생성된 특수 목적 컴퓨터에 의해 부분적으로 또는 완전히 구현될 수도 있다. 컴퓨터 프로그램들은 적어도 하나의 비일시적인, 유형의 컴퓨터 판독가능 매체 상에 저장된, 프로세서 실행가능 인스트럭션들을 포함한다. 컴퓨터 프로그램들은 또한 저장된 데이터를 포함하거나 저장된 데이터에 의존할 수도 있다. 컴퓨터 프로그램들은 특수 목적 컴퓨터의 하드웨어와 상호작용하는 BIOS (basic input/output system), 특수 목적 컴퓨터의 특정한 디바이스들과 상호작용하는 디바이스 드라이버들, 하나 이상의 운영 체제들, 사용자 애플리케이션들, 백그라운드 서비스들 및 애플리케이션들, 등을 포함할 수도 있다. 컴퓨터 프로그램들은: (i) 어셈블리 코드; (ii) 컴파일러에 의해 소스 코드로부터 생성된 객체 코드; (iii) 인터프리터에 의해 실행하기 위한 소스 코드; (iv) JIT 컴파일러 (just-in-time compiler) 에 의한 컴파일 및 실행을 위한 소스 코드; (v) HTML (hypertext markup language) 또는 XML (extensible markup language) 과 같은 파싱을 위한 기술 텍스트, 등을 포함할 수도 있다. 단지 예로서, 소스 코드는 C, C++, C#, Objective-C, Haskell, Go, SQL, Lisp, Java®, ASP, Perl, Javascript®, HTML5, Ada, ASP (active server pages), Perl, Scala, Erlang, Ruby, Flash®, Visual Basic®, Lua, 또는 Python®으로 작성될 수도 있다.
청구항들에 언급된 어떠한 엘리먼트도, 엘리먼트가 "을 위한 수단"이라는 구 또는 방법 청구항의 경우에, "을 위한 동작" 또는 "을 위한 단계"라는 구를 사용하여 명시적으로 언급되지 않는 한, 35 U.S.C. §112(f)의 의미 내에서 기능식 (means-plus-function) 엘리먼트로서 의도되지 않는다.

Claims (20)

  1. 기판 프로세싱 시스템을 위한 증기 전달 시스템에 있어서,
    액체 전구체를 저장하기 위한 앰플;
    기화된 전구체를 형성하도록 상기 액체 전구체를 적어도 부분적으로 기화하기 위해 미리 결정된 온도로 상기 앰플을 선택적으로 가열하기 위한 히터;
    유입부 및 유출부를 포함하는 가열된 주입 매니폴드;
    푸시 가스 소스와 유체 연통하는 유입부 및 상기 앰플과 유체 연통하는 유출부를 갖는 제 1 밸브;
    상기 앰플로부터 상기 기화된 전구체를 수용하기 위한 유입부 및 상기 가열된 주입 매니폴드의 상기 유입부와 유체 연통하는 유출부를 갖는 제 2 밸브;
    상기 가열된 주입 매니폴드의 상기 유출부와 유체 연통하는 제 1 노드;
    상기 제 1 노드와 유체 연통하는 유입부 및 진공과 유체 연통하는 유출부를 갖는 제 3 밸브;
    상기 제 1 노드와 유체 연통하는 유입부 및 제 2 노드와 유체 연통하는 유출부를 갖는 제 4 밸브; 및
    상기 제 2 노드와 유체 연통하는 가스 분배 디바이스를 포함하는, 증기 전달 시스템.
  2. 제 1 항에 있어서,
    상기 가스 분배 디바이스는 샤워헤드를 포함하는, 증기 전달 시스템.
  3. 제 1 항에 있어서,
    상기 제 2 밸브의 상기 유출부와 유체 연통하는 유입부를 갖는 제 5 밸브;
    상기 제 5 밸브의 상기 유출부와 유체 연통하는 제한된 오리피스; 및
    상기 제한된 오리피스와 유체 연통하는 유입부 및 상기 가열된 주입 매니폴드와 유체 연통하는 유출부를 갖는 제 6 밸브를 더 포함하는, 증기 전달 시스템.
  4. 제 3 항에 있어서,
    제 1 가스 매니폴드와 유체 연통하는 유입부 및 제 8 밸브의 유입부와 유체 연통하는 유출부를 갖는 제 7 밸브를 더 포함하는, 증기 전달 시스템.
  5. 제 4 항에 있어서,
    상기 제 1 가스 매니폴드와 유체 연통하는 유입부 및 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스의 후면으로 가스를 공급하는 유출부를 갖는 제 9 밸브를 더 포함하는, 증기 전달 시스템.
  6. 제 4 항에 있어서,
    제 2 가스 매니폴드 및 제 11 밸브의 유입부와 유체 연통하는 유입부 및 진공과 유체 연통하는 유출부를 갖는 제 10 밸브를 더 포함하는, 증기 전달 시스템.
  7. 제 6 항에 있어서,
    도즈 단계 동안,
    상기 앰플에 푸시 가스를 공급하고;
    상기 앰플로부터 상기 가열된 주입 매니폴드로 상기 기화된 전구체를 공급하고;
    상기 가열된 주입 매니폴드로부터 상기 가스 분배 디바이스로 상기 기화된 전구체를 공급하고; 그리고
    상기 제 2 가스 매니폴드로부터 가스를 방향 전환 (divert) 하도록 구성된, 제어기를 더 포함하는, 증기 전달 시스템.
  8. 제 7 항에 있어서,
    상기 제어기는,
    상기 도즈 단계 후에, 도즈 퍼지 단계, 도즈 퍼지 후 단계, RF (radio frequency) 단계 및 RF 후 단계로 순차적으로 동작하고;
    상기 도즈 퍼지 단계, 상기 도즈 퍼지 후 단계, 상기 RF 단계 및 상기 RF 후 단계 동안:
    상기 앰플에 상기 푸시 가스를 공급하고;
    상기 앰플로부터 상기 가열된 주입 매니폴드로 상기 기화된 전구체를 공급하고; 그리고
    상기 가열된 주입 매니폴드로부터 진공으로 상기 기화된 전구체를 방향 전환하고;
    상기 도즈 퍼지 단계 동안, 상기 제 2 가스 매니폴드로부터의 가스를 방향 전환하고, 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고;
    상기 도즈 퍼지 후 단계 동안, 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고, 상기 제 2 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고;
    상기 RF 단계 동안, 상기 제 2 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로는 가스를 공급하지 않고; 그리고
    상기 RF 후 단계 동안, 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고, 상기 제 2 가스 매니폴드로부터의 가스를 방향 전환하도록 더 구성되는, 증기 전달 시스템.
  9. 제 7 항에 있어서,
    상기 제어기는,
    상기 도즈 단계 후에, 도즈 퍼지 단계, 도즈 퍼지 후 단계, RF 단계 및 RF 후 단계로 순차적으로 동작하고;
    상기 도즈 퍼지 단계, 상기 도즈 퍼지 후 단계, 상기 RF 단계 및 상기 RF 후 단계 동안, 상기 기화된 전구체를 갖지 않는 상기 푸시 가스를 상기 가열된 주입 매니폴드로 그리고 상기 가스 분배 디바이스로 공급하고;
    상기 도즈 퍼지 단계 동안, 상기 제 2 가스 매니폴드로부터의 가스를 방향 전환하고 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고;
    상기 도즈 퍼지 후 단계 동안, 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고 상기 제 2 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고;
    상기 RF 단계 동안, 상기 제 2 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로는 가스를 공급하지 않고; 그리고
    상기 RF 후 단계 동안, 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고 상기 제 2 가스 매니폴드로부터의 가스를 방향 전환하도록 더 구성되는, 증기 전달 시스템.
  10. 제 7 항에 있어서,
    상기 제어기는,
    상기 도즈 단계 후에, 도즈 퍼지 단계, 도즈 퍼지 후 단계, RF 단계 및 RF 후 단계로 순차적으로 동작하고;
    상기 도즈 퍼지 단계, 상기 도즈 퍼지 후 단계, 상기 RF 단계 및 상기 RF 후 단계 동안, 상기 기화된 전구체를 갖지 않는 상기 푸시 가스를 상기 가열된 주입 매니폴드로 공급하고 상기 푸시 가스를 상기 가열된 주입 매니폴드로부터 진공으로 방향 전환하고;
    상기 도즈 퍼지 단계 동안, 상기 제 2 가스 매니폴드로부터의 가스를 방향 전환하고 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고;
    상기 도즈 퍼지 후 단계 동안, 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고 상기 제 2 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고;
    상기 RF 단계 동안, 상기 제 2 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로는 가스를 공급하지 않고; 그리고
    상기 RF 후 단계 동안, 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고 상기 제 2 가스 매니폴드로부터의 가스를 방향 전환하도록 더 구성되는, 증기 전달 시스템.
  11. 제 7 항에 있어서,
    상기 제어기는,
    상기 도즈 단계 후에, 도즈 퍼지 단계, 도즈 퍼지 후 단계, RF 단계 및 RF 후 단계로 순차적으로 동작하고;
    상기 도즈 퍼지 단계, 상기 도즈 퍼지 후 단계, 상기 RF 단계 및 상기 RF 후 단계 동안, 상기 푸시 가스를 진공으로 방향 전환하고;
    상기 도즈 퍼지 단계 동안 상기 제 2 가스 매니폴드로부터의 가스를 방향 전환하고 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고;
    상기 도즈 퍼지 후 단계 동안, 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고 상기 제 2 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고;
    상기 RF 단계 동안, 상기 제 2 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로는 가스를 공급하지 않고; 그리고
    상기 RF 후 단계 동안, 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고, 상기 제 2 가스 매니폴드로부터의 가스를 방향 전환하도록 더 구성되는, 증기 전달 시스템.
  12. 제 7 항에 있어서,
    상기 제어기는,
    상기 도즈 단계 후에, 도즈 퍼지 단계, 도즈 퍼지 후 단계, RF 단계 및 RF 후 단계로 순차적으로 동작하고;
    상기 도즈 퍼지 단계, 상기 도즈 퍼지 후 단계, 상기 RF 단계 및 상기 RF 후 단계 동안, 상기 푸시 가스를 진공으로 방향 전환하고, 제 3 가스 매니폴드로부터 상기 가열된 주입 매니폴드로 가스를 공급하고, 그리고 상기 가열된 주입 매니폴드의 출력을 진공으로 방향 전환하고;
    상기 도즈 퍼지 단계 동안, 상기 제 2 가스 매니폴드로부터의 가스를 방향 전환하고 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고;
    상기 도즈 퍼지 후 단계 동안, 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고 상기 제 2 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고;
    상기 RF 단계 동안, 상기 제 2 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로는 가스를 공급하지 않고; 그리고
    상기 RF 후 단계 동안, 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고 상기 제 2 가스 매니폴드로부터의 가스를 방향 전환하도록 더 구성되는, 증기 전달 시스템.
  13. 제 7 항에 있어서,
    상기 제어기는,
    상기 도즈 단계 후에, 도즈 퍼지 단계, 도즈 퍼지 후 단계, RF 단계 및 RF 후 단계로 순차적으로 동작하고;
    상기 도즈 퍼지 단계 동안, 상기 기화된 전구체를 갖지 않는 상기 푸시 가스를 상기 가열된 주입 매니폴드 및 상기 가스 분배 디바이스로 공급하고, 상기 제 2 가스 매니폴드로부터의 가스를 방향 전환하고, 그리고 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고;
    상기 도즈 퍼지 후 단계 및 상기 RF 단계 동안, 상기 기화된 전구체를 갖지 않는 상기 푸시 가스를 상기 가열된 주입 매니폴드로 공급하고 상기 가열된 주입 매니폴드의 출력을 방향 전환하고;
    상기 RF 후 단계 동안, 상기 가열된 주입 매니폴드로 상기 기화된 전구체를 공급하고 상기 가열된 주입 매니폴드의 상기 출력을 방향 전환하고;
    상기 도즈 퍼지 후 단계 동안, 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고 상기 제 2 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고;
    상기 RF 단계 동안, 상기 제 2 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로는 가스를 공급하지 않고; 그리고
    상기 RF 후 단계 동안, 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고 상기 제 2 가스 매니폴드로부터의 가스를 방향 전환하도록 더 구성되는, 증기 전달 시스템.
  14. 제 7 항에 있어서,
    상기 제어기는,
    상기 도즈 단계 후에, 도즈 퍼지 단계, 도즈 퍼지 후 단계, RF 단계 및 RF 후 단계로 순차적으로 동작하고;
    상기 도즈 퍼지 단계 동안, 상기 기화된 전구체를 갖지 않는 상기 푸시 가스를 상기 가열된 주입 매니폴드 및 상기 가스 분배 디바이스로 공급하고, 상기 제 2 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고, 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로는 가스를 공급하지 않고;
    상기 도즈 퍼지 후 단계 및 상기 RF 단계 동안, 상기 기화된 전구체를 갖지 않는 상기 푸시 가스를 상기 가열된 주입 매니폴드에 공급하고 상기 가열된 주입 매니폴드의 출력을 방향 전환하고;
    상기 도즈 퍼지 후 단계, 상기 RF 단계 및 상기 RF 후 단계 동안, 상기 제 2 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로는 가스를 공급하지 않고; 그리고
    상기 RF 후 단계 동안, 상기 가열된 주입 매니폴드로 상기 기화된 전구체를 공급하고 상기 가열된 주입 매니폴드의 상기 출력을 방향 전환하도록 더 구성되는, 증기 전달 시스템.
  15. 제 7 항에 있어서,
    상기 제어기는,
    상기 도즈 단계 후에, 도즈 퍼지 단계, 도즈 퍼지 후 단계, RF 단계 및 RF 후 단계로 순차적으로 동작하고;
    상기 도즈 단계 동안, 제 3 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고;
    상기 도즈 퍼지 단계 동안, 퍼지 가스 또는 상기 기화된 전구체를 상기 가열된 주입 매니폴드로 공급하지 않고, 상기 제 2 가스 매니폴드로부터의 가스를 방향 전환하고, 그리고 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고;
    상기 도즈 퍼지 후 단계 동안, 상기 퍼지 가스 또는 상기 기화된 전구체를 상기 가열된 주입 매니폴드로 공급하지 않고, 상기 제 2 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고, 그리고 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고;
    상기 RF 단계 동안, 상기 퍼지 가스 또는 상기 기화된 전구체를 상기 가열된 주입 매니폴드로 공급하지 않고 상기 제 2 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하고; 그리고
    상기 RF 후 단계 동안, 상기 퍼지 가스 또는 상기 기화된 전구체를 상기 가열된 주입 매니폴드로 공급하지 않고, 상기 제 2 가스 매니폴드로부터의 가스를 방향 전환하고, 그리고 상기 제 1 가스 매니폴드로부터 상기 가스 분배 디바이스로 가스를 공급하도록 더 구성되는, 증기 전달 시스템.
  16. 제 1 항에 있어서,
    상기 앰플 내의 상기 액체 전구체의 레벨을 센싱하기 위한 레벨 센서; 및
    상기 레벨에 기초하여 상기 앰플 내의 상기 액체 전구체의 레벨을 자동으로 유지하기 위한 전구체 공급부를 더 포함하는, 증기 전달 시스템.
  17. 제 16 항에 있어서,
    상기 앰플 내부의 제 1 위치에서 상기 액체 전구체의 온도를 센싱하기 위한 제 1 온도 센서; 및
    상기 앰플 내부의 제 2 위치에서 상기 액체 전구체의 온도를 센싱하기 위한 제 2 온도 센서를 더 포함하고,
    상기 제 1 위치는 목표 충진 레벨에 배열되고 상기 제 2 위치는 상기 목표 충진 레벨과 재충진 위치 사이에 배열되는, 증기 전달 시스템.
  18. 제 1 항에 있어서,
    상기 가스 분배 디바이스는 기판 프로세싱 챔버 내에 배열되고, 그리고
    원자층 증착 (atomic layer deposition) 및 화학적 기상 증착 (chemical vapor deposition) 중 적어도 하나가 상기 기판 프로세싱 챔버 내에서 수행되는, 증기 전달 시스템.
  19. 제 18 항에 있어서,
    상기 기판 프로세싱 챔버 내에서 플라즈마를 생성하기 위한 플라즈마 생성기를 더 포함하는, 증기 전달 시스템.
  20. 앰플에 액체 전구체를 저장하는 단계;
    히터에 의해, 기화된 전구체를 형성하도록 상기 액체 전구체를 적어도 부분적으로 기화하기 위해 미리 결정된 온도로 상기 앰플을 선택적으로 가열하는 단계;
    가열된 주입 매니폴드에 의해, 상기 가열된 주입 매니폴드의 유입부와 유출부 사이에서 유체 연통하는 단계;
    제 1 밸브에 의해, 상기 제 1 밸브의 유입부를 통해 푸시 가스 소스와 유체 연통하고 상기 제 1 밸브의 유출부를 통해 상기 앰플과 유체 연통하는 단계;
    제 2 밸브에 의해, 상기 제 2 밸브의 유입부를 통해 상기 앰플로부터 상기 기화된 전구체를 수용하고 상기 제 2 밸브의 상기 유출부를 통해 상기 가열된 주입 매니폴드의 상기 유입부와 유체 연통하는 단계;
    제 1 노드에 의해, 상기 가열된 주입 매니폴드의 상기 유출부와 유체 연통하는 단계;
    제 3 밸브에 의해, 상기 제 3 밸브의 유입부를 통해 상기 제 1 노드와 유체 연통하고 상기 제 3 밸브의 유출부를 통해 진공과 유체 연통하는 단계;
    제 4 밸브에 의해, 상기 제 4 밸브의 유입부를 통해 상기 제 1 노드와 유체 연통하고 상기 제 4 밸브의 유출부를 통해 제 2 노드와 유체 연통하는 단계; 및
    가스 분배 디바이스에 의해, 상기 제 2 노드와 유체 연통하고 기판 프로세싱 시스템 내로 가스를 분배하는 단계를 포함하는, 증기 전달 방법.
KR1020220103743A 2014-08-01 2022-08-19 증기 전달을 위한 시스템들 및 방법들 KR102569479B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230107680A KR20230124534A (ko) 2014-08-01 2023-08-17 증기 전달을 위한 시스템들 및 방법들

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462032234P 2014-08-01 2014-08-01
US62/032,234 2014-08-01
US14/798,652 US9970108B2 (en) 2014-08-01 2015-07-14 Systems and methods for vapor delivery in a substrate processing system
US14/798,652 2015-07-14
KR1020150108524A KR102435685B1 (ko) 2014-08-01 2015-07-31 증기 전달을 위한 시스템들 및 방법들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150108524A Division KR102435685B1 (ko) 2014-08-01 2015-07-31 증기 전달을 위한 시스템들 및 방법들

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230107680A Division KR20230124534A (ko) 2014-08-01 2023-08-17 증기 전달을 위한 시스템들 및 방법들

Publications (2)

Publication Number Publication Date
KR20220119586A true KR20220119586A (ko) 2022-08-30
KR102569479B1 KR102569479B1 (ko) 2023-08-21

Family

ID=55179419

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020150108524A KR102435685B1 (ko) 2014-08-01 2015-07-31 증기 전달을 위한 시스템들 및 방법들
KR1020220103743A KR102569479B1 (ko) 2014-08-01 2022-08-19 증기 전달을 위한 시스템들 및 방법들
KR1020230107680A KR20230124534A (ko) 2014-08-01 2023-08-17 증기 전달을 위한 시스템들 및 방법들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020150108524A KR102435685B1 (ko) 2014-08-01 2015-07-31 증기 전달을 위한 시스템들 및 방법들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230107680A KR20230124534A (ko) 2014-08-01 2023-08-17 증기 전달을 위한 시스템들 및 방법들

Country Status (6)

Country Link
US (1) US9970108B2 (ko)
JP (1) JP6868951B2 (ko)
KR (3) KR102435685B1 (ko)
CN (1) CN105316657B (ko)
SG (1) SG10201505938UA (ko)
TW (1) TWI671425B (ko)

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11427907B2 (en) 2016-07-20 2022-08-30 Showa Denko K.K. Gas supply apparatus and gas supply method
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10351953B2 (en) * 2017-03-16 2019-07-16 Lam Research Corporation Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US11255017B2 (en) 2017-03-16 2022-02-22 Lam Research Corporation Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10844484B2 (en) * 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11661654B2 (en) * 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11393703B2 (en) * 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021048233A (ja) * 2019-09-18 2021-03-25 株式会社Kokusai Electric 原料貯留システム、基板処理装置、クリーニング方法およびプログラム
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210404058A1 (en) * 2020-06-24 2021-12-30 Applied Materials, Inc. Apparatus and methods to reduce particles in a film deposition chamber
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
WO2022067016A1 (en) * 2020-09-28 2022-03-31 Lam Research Corporation Compact modular gas distribution plumbing and heating system for multi-station deposition modules
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023114067A1 (en) * 2021-12-13 2023-06-22 Lam Research Corporation Valve systems for balancing gas flow to multiple stations of a substrate processing system

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09298171A (ja) * 1996-05-08 1997-11-18 Tokyo Electron Ltd 処理ガスの供給方法及びその装置
US20090232986A1 (en) * 2008-03-17 2009-09-17 Applied Materials, Inc. Heated valve manifold for ampoule
US20100012204A1 (en) * 2008-06-02 2010-01-21 Stretch Dale A Valve manifold
JP2011151356A (ja) * 2009-12-22 2011-08-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法及び基板処理装置
JP2014007289A (ja) * 2012-06-25 2014-01-16 Tokyo Electron Ltd ガス供給装置及び成膜装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0784662B2 (ja) * 1989-12-12 1995-09-13 アプライドマテリアルズジャパン株式会社 化学的気相成長方法とその装置
US5455014A (en) * 1993-07-20 1995-10-03 Hughes Aircraft Company Liquid deposition source gas delivery system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US20060133955A1 (en) * 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
WO2010135250A2 (en) * 2009-05-22 2010-11-25 Applied Materials, Inc. Methods for determining the quantity of precursor in an ampoule
US20110048639A1 (en) * 2009-08-31 2011-03-03 E. I. Du Pont De Nemours And Company Apparatus and method for unloading a film cassette for gaseous vapor deposition
US8628618B2 (en) 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
JP5650234B2 (ja) * 2009-11-16 2015-01-07 エフ・イ−・アイ・カンパニー ビーム処理システムに対するガス送達
US9303319B2 (en) * 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
US20130068320A1 (en) * 2011-06-17 2013-03-21 Son Nguyen Protective material for gas delivery in a processing system
US8985152B2 (en) * 2012-06-15 2015-03-24 Novellus Systems, Inc. Point of use valve manifold for semiconductor fabrication equipment

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09298171A (ja) * 1996-05-08 1997-11-18 Tokyo Electron Ltd 処理ガスの供給方法及びその装置
US20090232986A1 (en) * 2008-03-17 2009-09-17 Applied Materials, Inc. Heated valve manifold for ampoule
US20100012204A1 (en) * 2008-06-02 2010-01-21 Stretch Dale A Valve manifold
JP2011151356A (ja) * 2009-12-22 2011-08-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法及び基板処理装置
JP2014007289A (ja) * 2012-06-25 2014-01-16 Tokyo Electron Ltd ガス供給装置及び成膜装置

Also Published As

Publication number Publication date
CN105316657B (zh) 2018-06-08
JP2016035103A (ja) 2016-03-17
KR20230124534A (ko) 2023-08-25
US20160032453A1 (en) 2016-02-04
TW201623674A (zh) 2016-07-01
TWI671425B (zh) 2019-09-11
JP6868951B2 (ja) 2021-05-12
SG10201505938UA (en) 2016-03-30
KR20160016680A (ko) 2016-02-15
KR102435685B1 (ko) 2022-08-23
KR102569479B1 (ko) 2023-08-21
US9970108B2 (en) 2018-05-15
CN105316657A (zh) 2016-02-10

Similar Documents

Publication Publication Date Title
KR102569479B1 (ko) 증기 전달을 위한 시스템들 및 방법들
US9387447B2 (en) Device for introducing, injecting or spraying a mixture of a carrier gas and liquid compounds and method for implementing said device
US9617637B2 (en) Systems and methods for improving deposition rate uniformity and reducing defects in substrate processing systems
JP2016035103A5 (ko)
US9605346B2 (en) Systems and methods for pressure-based liquid flow control
JP6945269B2 (ja) マルチトレイバラスト蒸気引き込みシステム
TW201625812A (zh) 被帶走的蒸汽之測量系統及方法
US20210020469A1 (en) Semiconductor vapor etching device with intermediate chamber
JP2015073021A (ja) 原子層堆積装置および原子層堆積方法
KR102642370B1 (ko) 전구체 전달 시스템
JP2014210946A (ja) 原子層堆積装置
KR100455224B1 (ko) 기화기
KR20150055881A (ko) 원료 공급 장치
JP2004514997A (ja) 低容量液体流の計量化送出のための方法および装置
KR20160099765A (ko) 원료 공급기 및 기판 처리 장치
US20210087688A1 (en) Methods for Controlling Pulse Shape in ALD Processes
KR100709035B1 (ko) 박막증착장치용 직접액체분사시스템
TW202235673A (zh) 用於原子層沉積的具有管線填充容積容器之前驅物分配系統
JPH05315264A (ja) 液体材料の気化供給方法及びその装置並びに化学気相成長システム
KR20070064454A (ko) 가스공급장치 및 이를 이용하는 가스공급방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant