CN105316657A - 用于蒸气输送的系统和方法 - Google Patents

用于蒸气输送的系统和方法 Download PDF

Info

Publication number
CN105316657A
CN105316657A CN201510482123.8A CN201510482123A CN105316657A CN 105316657 A CN105316657 A CN 105316657A CN 201510482123 A CN201510482123 A CN 201510482123A CN 105316657 A CN105316657 A CN 105316657A
Authority
CN
China
Prior art keywords
valve
gas
manifold
supplied
dosing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510482123.8A
Other languages
English (en)
Other versions
CN105316657B (zh
Inventor
钱俊
康胡
普鲁沙塔姆·库马尔
克洛伊·巴尔达赛罗尼
希瑟·兰第斯
安德鲁·肯尼希·杜瓦尔
穆罕默德·萨布里
拉梅什·钱德拉赛卡哈伦
卡尔·利泽
尚卡·斯瓦米纳坦
大卫·史密斯
耶利米·鲍尔温
伊什沃·兰加恩坦
阿德里安·拉沃伊
弗兰克·帕斯夸里
何钟硕
裴英吉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105316657A publication Critical patent/CN105316657A/zh
Application granted granted Critical
Publication of CN105316657B publication Critical patent/CN105316657B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

本发明提供用于蒸气输送的系统和方法。蒸气输送系统包括安瓿以存储液体前体和加热器以部分汽化液体前体。第一阀与推气源和安瓿连通。第二阀将汽化的前体供给至加热的喷射歧管。阀歧管包括与加热的喷射歧管的出口流体连通的第一节点,具有与第一节点流体连通的入口和与真空流体连通的出口的第三阀,具有与第一节点流体连通的入口和与第二节点流体连通的出口的第四阀,具有与第二节点流体连通的出口的第五阀,具有与第二节点流体连通的出口的第六阀。气体分配装置与第二节点流体连通。

Description

用于蒸气输送的系统和方法
相关申请的交叉引用
本申请要求于2014年8月1日提交的美国临时申请No.62/032234的权益。上述申请的全部公开通过引用并入本文。
技术领域
本发明涉及衬底处理系统,并且更具体地涉及在衬底处理系统中用于蒸气输送的系统和方法。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。在此背景技术部分中描述的程度上的当前指定的发明人的工作,以及在提交申请时可能无法以其他方式有资格作为现有技术的说明书的各方面,既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理系统可被用于在衬底上执行膜的沉积和/或蚀刻。衬底处理系统通常包括具有衬底支撑件的处理室,衬底支撑件诸如底座、静电卡盘、板、等等。衬底(例如半导体晶片)可以被布置在衬底支撑件上。在化学气相沉积(CVD)或原子层沉积(ALD)工艺中,包括一种或多种前体的气体混合物可被引入到处理室以在衬底上沉积膜。在某些衬底处理系统中,射频(RF)等离子体可被用于激活化学反应。
一些气体前体是通过使液体汽化产生的。对于ALD沉积,诸如氧化硅沉积,通常使用该方法。然而,这种方法通常具有由于液体的不充分汽化而导致的高的缺陷数以及因为脉冲的液体流往往难以控制而造成的较高的运行成本。
发明内容
一种用于衬底处理系统的蒸气输送系统,其包括:安瓿,其用以储存液体前体;加热器,其用以选择性地加热所述安瓿到预定的温度,以至少部分地汽化所述液体前体。加热的喷射歧管包括入口和出口。第一阀具有与推气源流体连通的入口和与所述安瓿流体连通的出口。第二阀具有接收来自所述安瓿的汽化的前体的入口和与所述加热的喷射歧管的所述入口流体连通的出口。阀歧管包括:第一节点,其与所述加热的喷射歧管的出口流体连通;第三阀,其具有与所述第一节点流体连通的入口和与真空流体连通的出口;第四阀,其具有与所述第一节点流体连通的入口和与第二节点流体连通的出口;第五阀,其具有与所述第二节点流体连通的出口;以及第六阀,其具有与所述第二节点流体连通的出口。气体分配装置与所述第二节点流体连通。
在其他特征中,所述气体分配装置包括喷头。第七阀具有与所述第二阀的所述出口流体连通的入口。限流孔与所述第二阀的所述出口流体连通。第八阀具有与所述限流孔流体连通的入口和与所述加热的喷射歧管流体连通的出口。
在其他特征中,第九阀具有与第一气体歧管流体连通的入口和与所述第五阀的入口流体连通的出口。第十阀具有与所述第一气体歧管流体连通的入口和将来自所述第一气体歧管的气体供给至所述气体分配装置的背面的出口。
在其他特征中,第十阀具有与第二气体歧管和所述第六阀的入口流体连通的入口,以及与真空源流体连通的出口。
在其他特征中,在投配阶段期间,控制器被配置为使用所述第一阀供给推气体至所述安瓿;使用所述第二阀、所述第七阀、所述限流孔和所述第八阀将来自所述安瓿的所述汽化的前体供给至所述加热的喷射歧管;使用所述第四阀将来自所述加热的喷射歧管的所述汽化的前体供给至所述气体分配装置;以及使用所述第十阀将所述第二气体歧管转向。
在其他特征中,在所述投配阶段之后,按顺序操作投配吹扫阶段、投配吹扫后阶段、射频(RF)阶段和RF后阶段所述控制器。
一种用于操作用于衬底处理系统的蒸气输送系统的方法,其包括:在安瓿中储存液体前体;加热所述安瓿到足以至少部分地汽化所述液体前体的预定温度;在多个处理阶段操作;在所述多个处理阶段中的至少一个阶段期间,进行下列操作中的至少一个:选择性地将推气体供给至所述安瓿以将来自所述安瓿的推气体和汽化的前体供给至加热的喷射歧管,围绕所述安瓿将所述推气体设旁路以将推气体在没有汽化的前体的情况下供给至所述加热的喷射歧管,以及将所述推气体转向至真空以不将推气体或汽化的前体供给至所述加热的喷射歧管;在所述多个处理阶段中的至少一个阶段期间选择地使用连接到处理室的气体分配装置的阀歧管来接收来自所述加热的喷射歧管的气体;在所述多个处理阶段中的至少一个阶段期间选择性地使用所述阀歧管将来自所述加热的喷射歧管的所述气体转向至真空;在所述多个处理阶段中的至少一个阶段期间选择性地将来自所述加热的喷射歧管的所述气体供给至所述气体分配装置;在所述多个处理阶段中的至少一个阶段期间使用所述阀歧管选择性地将来自第一气体歧管的气体供给至所述气体分配装置;以及在所述多个处理阶段中的至少一个阶段期间使用所述阀歧管选择地将来自所述第二气体歧管的气体供给至所述气体分配装置。
在其他特征中,该气体分配装置包括喷头。在投配阶段期间,供给推气体至所述安瓿;将来自所述安瓿的所述汽化的前体供给至所述加热喷射歧管;将来自所述加热的喷射歧管的所述汽化的前体供给至所述气体分配装置;以及将所述第二气体歧管转向。
在其他特征中,在所述投配阶段之后,按顺序操作投配吹扫阶段、投配吹扫后阶段、射频(RF)阶段和RF后阶段。
从详细描述、权利要求和附图中本公开内容的适用性的进一步范围将变得显而易见。详细描述和具体实施例仅旨在说明的目的,并非意在限制本公开的范围。
附图说明
从详细描述和附图中本发明将被更透彻地理解,其中:
图1是根据本发明的衬底处理系统的功能框图;
图2是用于根据本发明的衬底处理系统的蒸气输送系统的例子的功能框图;
图3是描绘蒸气输送系统中的阀的导通和关闭时序的例子的时序图;
图3A-3E描绘了在图3的各个阶段在蒸气输送系统中的阀的操作;
图4是描绘蒸气输送系统中的阀的导通和关闭时序的另一例子的时序图;
图5是描绘蒸气输送系统中的阀的导通和关闭时序的另一例子的时序图;
图5A-5E描绘了在图5的各个阶段在蒸气输送系统中的阀的操作;
图6是描绘蒸气输送系统中的阀导通和关闭时序的另一例子的时序图;
图6A-6E描绘了在图6的各个阶段在蒸气输送系统中的阀的操作;
图7是描绘蒸气输送系统中的阀的导通和关闭时序的另一例子的时序图;
图7A-7E描绘了在图7的各个阶段在蒸气输送系统中的阀的操作;
图8是描绘蒸气输送系统中的阀的导通和关闭时序的另一例子的时序图;
图8A-8E描绘了在图8的各个阶段在蒸气输送系统中的阀的操作;
图9是描绘蒸气输送系统中的阀的导通和关闭时序的另一例子的时序图;
图9A-9E描绘了在图9的各个阶段在蒸气输送系统中的阀的操作;
图10是描绘蒸气输送系统中的阀的导通和关闭时序的另一例子的时序图;
图10A-10E描绘了在图10的各个阶段在蒸气输送系统中的阀的操作;
图11是描绘蒸气输送系统中的阀的导通和关闭时序的另一例子的时序图;
图11A-11E描绘了在图11的各个阶段在蒸气输送系统中的阀的操作;
图12是根据本发明的控制器的功能框图;和
图13是示出用于操作图12的控制器的方法的例子的流程图。
在附图中,附图标记可以被重新使用以标识相似和/或相同的元件。
具体实施方式
不同的气体前体用于膜(诸如氧化硅)的原子层沉积。在一些系统中,气体前体可以作为经汽化的液体被输送。由于液体前体没有充分汽化而在衬底处理系统的冷的位置处凝结并分解,因此使用这种方法的传统的系统典型地具有高的缺陷数。由于气体前体的以获得稳定的膜性能的连续液体流的性质,在非投配阶段期间60%以上的气体前体被浪费,这导致了高的操作成本。
即使在使用蒸气牵引系统或跨过蒸气牵引的流(或扫气)系统的情况下,由于流率对系统的流导的依赖性以及缺乏校正流导的变化的方法,因此不能保证在给定系统或系统群体上的流的可制造性和可重复性。由于在温度被感测的位置处相对于在实际液-汽界面的温度的温度变化,出现了其他的问题。
此外,使用蒸气牵引系统或跨过蒸气牵引的流系统通常不会有以多种模式运行的能力,多种模式包括具有完全装入前体的连接到气体分配装置(例如喷头)的管线的模式以能够实现具有低成本的快速循环。
根据本发明的衬底处理系统的蒸气输送系统使用利用惰性载气加热的蒸气牵引的方法输送气体前体。贮存液体前体的安瓿被加热以保持预定的蒸气压。载体气体流经安瓿以保持稳定的前体流。沿着输送管线的足够的梯度加热和精确的温度控制防止冷凝和分解。
根据本公开的蒸气输送系统还提供了不同的投配顺序以减少前体消耗。在液体输送时,由于液体流控制(LFC)快速反复的缺点的性质,连续流被用于实现稳定的膜性能。在蒸气输送时,保持连续的载气,仅在投配阶段引入前体蒸气。在一些例子中,与连续流的方法相比,前体消耗可以减少50%以上。
相比于液体喷射输送的方法,本文所述的蒸气载气的方法提供稳定的前体流,其汽化充分、冷凝和低分解风险低,从而降低缺陷。代替使用直接液体喷射到加热的喷射歧管(HIM)中然后在HIM或气体分配装置(例如喷头)中汽化,将蒸气直接通过惰性载气输送至HIM。
根据本公开的蒸气输送系统还提供阀和投配流定时以在投配阶段保持稳定的流和在其他阶段保存前体。例如,在一些实施方式中,仅在投配阶段引入前体流,并在其他阶段切断前体流。在一些实现方式中,用于载气的转向阀被引入以保持用于稳定的前体蒸气输送的稳定的载气流。在一些实现方式中,到气体分配装置的管线被完全装满,由于阀的布局和在相对紧靠气体分配装置布置的阀歧管,因而这可以被实现。本文所述的蒸气输送系统使得在前体和吹扫或前体和RF阶段之间能进行最快的循环,同时保持低成本。
从安瓿至处理室的流率是流导的函数。为了保持恒定的流导,执行各种功能。驱动压力通过在安瓿中保持恒定的温度来控制,从而提供恒定的蒸气压力。安瓿下游的流导可调整为恒定流导。
在一些例子中,安瓿不仅在加热器护套中而且在液体中都进行温度测量。可以使用连续再填充系统。在一些例子中,连续再填充系统包括超声波传感器。温度监测可以通过温度传感器来进行,温度传感器例如热电偶。例如,第一温度传感器可以位于目标液位(例如全安瓿的约50%),第二温度传感器可以位于安瓿的接近再填充出口的底部。
由于蒸气压力取决于在液体和蒸气之间的界面处的温度,因此蒸气输送系统根据来自位于液位的温度传感器的温度读数来控制。当液体进行再填充时,被加热的液体的温度下降。因此,在再填充期间和/或在再填充后的预定期间,蒸气输送系统可根据在再填充期间由第二温度传感器产生的温度或第一和第二温度传感器的功能来控制。替代地,具有两个或更多个独立的温度传感器的两个或更多个加热区可以用于控制液体的温度以在感兴趣区域中提供恒定的温度。
上述变化的组合允许改善的温度和流导控制,导致随着时间的推移而出现的并且跨越工具的来自安瓿的可重复流率。
现在参考图1,示出了衬底处理系统10的例子。衬底处理系统10包括处理室12。气体可使用气体分配装置14被供给到处理室12,气体分配装置14例如喷头或其他设备。在处理期间衬底18(例如半导体晶片)可设置在衬底支撑件16上。衬底支撑件16可包括底座、静电卡盘、机械卡盘或其它类型的衬底支撑件。
可以提供一个或多个气体输送系统20。例如,气体输送系统20可包括一个或多个气源22-2、22-2、...,和22-N(统称为气源22),其中N是大于一的整数。阀24-1、24-2,...,和24-N(统称阀24),质量流量控制器(MFC)26-1、26-2、...,和26-N(统称为MFCs26),或其它流控制装置可被用于将前体、反应性气体、惰性气体、吹扫气体,和它们的混合物可控地供给到歧管30,歧管30将气体混合物供应到处理室12。
控制器40可用于监控(利用传感器41)工艺参数,如温度、压力等,并用于控制工艺的时序。控制器40可用于控制工艺装置,如阀、气体输送系统20、底座加热器42、和/或等离子体发生器46。控制器40也可用于通过使用阀50和泵52排空处理室12。
RF等离子体发生器46产生处理室中的RF等离子体。RF等离子体发生器46可以是感应式RF等离子体发生器或电容式RF等离子体发生器。在一些实例中,RF等离子体发生器46可包括RF供给源60以及匹配和分配网络64。尽管RF等离子体发生器46被示出连接到气体分配装置14,底座接地或浮置,但RF等离子体发生器46可连接到衬底支撑件16,而气体分配装置14可以接地或浮置。
现在参考图2,根据本发明的蒸气输送系统100可用于通过汽化液体前体以提供一种或多种气体前体。推气源110可使用阀V215、质量流量控制器(MFC)114和阀V210供给推气体到节点115。仅作为示例,每个阀可用电子装置控制,并且可以包括入口、出口和一个或多个控制端子。阀V202可以选择性地用于将在节点115的气体转向至真空(Vac)。节点115通过阀V214进一步连接到存储液体前体120的安瓿118。在操作期间,推气体可以被供给到阀V214,汽化的前体使用阀V205可以流出安瓿118。旁路阀V213可以连接到节点115,并连接到节点116,节点116连接到阀V205。
安瓿118可包括控制液体前体120的温度的一个或多个加热器121。可设置一个或多个温度传感器或热电偶123和125以感测在安瓿118内的不同位置的液体前体的温度。例如,温度传感器123可被布置在目标填充液位,温度传感器125可以被布置在安瓿118的底部。
可设置例如超声波传感器或其它液位传感器之类液位传感器127以感测在安瓿118中的前体的液位。前体供应件129可以被用于供给前体至安瓿118以基于安瓿118中的前体的液位根据需要选择性地重新填充安瓿118。在一些实施例中,前体供应件129可以包括阀131、泵133和大容量存储器135,但也可使用其他方式。
阀V206、限流孔142和阀V55可用于将节点116连接到加热的喷射歧管(HIM)134。限流孔142可包括固定限流孔、可变限流孔或固定限流孔和可变限流孔的组合。HIM134包括接收来自安瓿118的汽化的前体的第一入口和出口。歧管144可以通过阀V46连接到HIM134。在所有的阶段期间,一些阶段期间,或不在任何阶段中,歧管144可提供气体如分子氮N2和/或氩(Ar)。HIM134还连接到阀歧管146。
阀歧管146可以包括一个或多个阀。例如,阀歧管146被示为包括阀V69、V164、V165和V166。歧管160由阀V89和V165连接到节点16。节点163也连接到喷头14。阀V69连接到节点163、歧管150和转向阀V167,转向阀V167可被设置以选择性地将来自歧管150的气体转向。阀V164的出口被连接到节点166,阀V164的入口被连接到节点163。阀V166将节点166连接到限流孔145和真空。限流孔145可包括固定限流孔、可变限流孔或固定限流孔和可变限流孔的组合。
在一些例子中,歧管171选择性地通过阀V44连接到阀V89和V165B之间的节点173。歧管171选择性地由阀V36连接真空。在一些例子中,该歧管供应氩(Ar),但可以供应其他气体。
现在参考图3和图3A-3E,示出了在图2中的阀的操作的例子。在图3和图3A中,在投配阶段期间,用阀V215、MFC114、阀V210和阀V214将推气体供给至安瓿118。汽化的前体利用阀V205离开安瓿118并利用阀V206、限流孔142和阀V55流入HIM134中。汽化的前体利用阀V164继续通过阀歧管146至喷头14。可以用阀V162将吹扫气体供给至喷头14的背面。歧管150通过阀V167被转向。
在图3和图3B中,在投配吹扫阶段期间,用阀V215、MFC114、阀V210和阀V214将推气体供给至安瓿118。汽化的前体利用阀V205离开安瓿118并利用阀V206、限流孔142和阀V55流入HIM134。HIM134的输出通过阀V166和限流孔142被转向至真空。另外,歧管160的输出通过阀V89和V165转向至节点163并到喷头14中。歧管150的输出通过阀V167被转向。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图3和图3C中,在投配吹扫后阶段,用阀V215、MFC114、阀V210和阀V214将推气体供给至安瓿118。汽化的前体利用阀V205离开安瓿118并利用阀V206、限流孔142和阀V55流至HIM134。HIM134的输出通过阀V166和限流孔142被转向至真空。另外,歧管160的输出通过阀V89和V165被引导至节点163并到喷头14中。歧管150的输出通过阀V69被引导至节点163并到喷头14中。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图3和3D中,在RF阶段期间,利用阀V215、MFC114、阀V210和阀V214将推气体供给至安瓿118。汽化的前体利用阀V205离开安瓿118并利用阀V206、限流孔142和阀V55流至HIM134。HIM134的输出通过阀V166和限流孔142被转向至真空。歧管150的输出通过阀V69被引导至喷头14。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图3和3E中,在RF后阶段期间,利用阀V215、MFC114、阀V210和阀V214将推气体供给至安瓿118。汽化的前体利用阀V205离开安瓿118并利用阀V206、限流孔142和阀V55流至HIM134。HIM134的输出通过阀V166和限流孔142被转向至真空。歧管160的输出通过阀V89和V165被引导至喷头14。歧管150的输出通过阀V167被转向。可以使用阀V162将吹扫气体供给至喷头14的背面。
仅作为示例,推气源110可以是惰性气体如氩气,但可使用其他惰性气体或推气体。歧管144可以供给分子氮N2,但可以使用其它气体。通过阀V162供给的吹扫气体可以包括分子氮N2,但可以使用其它气体。通过阀V89和V165供给的突发吹扫气体可以包括Ar/N2,但可以使用其它的吹扫气体。歧管150可以提供气体混合物,例如O2/N2O/Ar,但可以提供其它气体混合物。
现在参考图4,操作类似于上述关于图3和图3A-3E的操作。然而,在转向期间通过阀V164和V166保持低流导。
现在参考图5和5A-5E,示出了在图2中的阀的操作的例子。在图5和图5A中,在投配阶段期间,利用阀V215、MFC114、阀V210和阀V214将推气体供给至安瓿118。汽化的前体利用阀V205离开安瓿118并利用阀V206、限流孔142和阀V55流至HIM134。汽化的前体利用阀V164继续通过阀歧管146至喷头14。可以使用阀V162将吹扫气体供给至喷头14的背面。歧管150通过阀V167被转向。
在图5和图5B中,在投配吹扫阶段期间,利用阀V215、MFC114、阀V210、阀V214、阀V205和阀V55将推气体供给至HIM134。HIM134的输出通过阀V164供给至喷头14。另外,歧管160的输出通过阀V89和V165被引导至节点163并到喷头14中。歧管150的输出通过阀V167被转向。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图5和图5C中,在投配吹扫后阶段期间,用阀V215、MFC114、阀V210、阀V214、阀V205和阀V55将推气体供给至HIM134。HIM134的输出通过阀V164被供给至喷头14。另外,歧管160的输出通过阀V89和V165被引导至节点163并到喷头14中。歧管150的输出通过阀V69被引导至节点163并到喷头14中。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图5和图5D中,在RF阶段期间,用阀V215、MFC114、阀V210、阀V214、阀V205和阀V55将推气体供给至HIM134。HIM134的输出通过阀V164被供给至喷头14。歧管150的输出通过阀V69被引导至节点163并到喷头14中。可以用阀V162将吹扫气体供给至喷头14的背面。
在图5和图5E中,在RF后阶段期间,用阀V215、MFC114、阀V210、阀V214、阀V205和阀V55将推气体供给至HIM134。HIM134的输出通过阀V164被供给至喷头14。歧管160的输出通过阀V89和V165被引导到节点163并到喷头14中。歧管150的输出通过阀V167被转向。可以使用阀V162将吹扫气体供给至喷头14的背面。
现在参考图6和图6A-6E,示出了在图2中的阀的操作的例子。在图6和图6A中,在投配阶段期间,用阀V215、MFC114、阀V210和阀V214将推气体供给至安瓿118。汽化的前体利用阀V205离开安瓿118并利用阀V206、限流孔142和阀V55流至HIM134。汽化的前体使用阀V164继续通过阀歧管146至喷头14。可以使用阀V162将吹扫气体供给至喷头14的背面。歧管150的通过阀V167被转向。
在图6和图6B中,在投配吹扫阶段期间,用阀V215、MFC114、阀V210、阀V214、阀V205和阀V55将推气体供给至HIM134。HIM134的输出通过阀V166被转向至真空。另外,歧管160的输出通过阀V89和V165被引导至节点163并到喷头14中。歧管150的输出通过阀V167被转向。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图6和图6C中,在投配吹扫后阶段期间,用阀V215、MFC114、阀V210、阀V214、阀V205和阀V55将推气体供给至HIM134。HIM134的输出通过阀V166被转向至真空。另外,歧管160的输出通过阀V89和V165被引导至节点163并到喷头14中。歧管150的输出通过阀V69被引导至节点163并到喷头14中。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图6和图6D中,在RF阶段期间,用阀V215、MFC114、阀V210、阀V214、阀V205和阀V55将推气体供给至HIM134。HIM134的输出通过阀V166被转向至真空。歧管150的输出通过阀V69被引导至节点163并到喷头14中。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图6和图6E中,在RF后阶段期间,使用阀V215、MFC114、阀V210、阀V214、阀V205和阀V55将推气体供给至HIM134。HIM134的输出通过阀V166被转向至真空。歧管160的输出通过阀V89和V165被引导至节点163并到喷头14中。歧管150的输出通过阀V167被转向。可以使用阀V162将吹扫气体供给至喷头14的背面。
现在参考图7和图7A-7E,示出了在图2中的阀的操作的例子。在图7和7A中,在投配阶段期间,使用阀V215、MFC114、阀V210和阀V214将推气体供给到安瓿118。汽化的前体利用阀V205离开安瓿118并利用阀V206、限流孔142和阀V55流至HIM134。汽化的前体利用阀V164继续通过阀歧管146至喷头14。可以使用阀V162将吹扫气体供给至喷头14的背面。歧管150通过阀V167被转向。
在图7和图7B中,在投配吹扫阶段期间,用阀V215、MFC114和阀V210供给推气体。阀V202将推气体转向至真空。另外,歧管160的输出通过阀V89和V165被引导到节点163并到喷头14中。歧管150的输出通过阀V167被转向。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图7和图7C中,在投配吹扫后阶段期间,用阀V215、MFC114和阀V210供给推气体。阀V202将推气体转向至真空。另外,歧管160的输出通过阀V89和V165被引导到节点163并到喷头14中。歧管150的输出通过阀V69被引导至节点163并到喷头14中。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图7和图7D中,在RF阶段期间,用阀V215、MFC114和阀V210供给推气体。阀V202将推气体转向至真空。歧管150的输出通过阀V69被引导至节点163并到喷头14中。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图7和图7E中,在RF后阶段期间,用阀V215、MFC114、阀V210、阀V214、阀V205和阀V55将推气体供给至HIM134。HIM134的输出通过阀V166被转向至真空。歧管160的输出通过阀V89和V165被引导到节点163并到喷头14中。歧管150的输出通过阀V167被转向。可以使用阀V162将吹扫气体供给至喷头14的背面。
现在参考图8和8A-8E,示出了在图2中的阀的操作的例子。在图8和图8A中,在投配阶段期间,使用阀V215、MFC114、阀V210和阀V214将推气体供给至安瓿118。汽化的前体利用阀V205离开安瓿118并利用阀V206、限流孔142和阀V55流至HIM134。另外,气体从歧管144流至HIM134。汽化的前体利用阀V164继续通过阀歧管146至喷头14。可以使用阀V162将吹扫气体供给至喷头14的背面。歧管150通过阀V167被转向。
在图8和图8B中,在投配吹扫阶段期间,用阀V215、MFC114和阀V210供给推气体。阀V202将推气体转向至真空。由歧管144供给的气体通过阀V166被重新引导至真空。另外,歧管160的输出通过阀V89和V165被引导到节点163并到喷头14中。歧管150的输出通过阀V167被转向。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图8和图8C中,在投配吹扫后阶段,用阀V215、MFC114和阀V210供给推气体。阀V202使推气体转向至真空。由歧管144供给的气体通过阀V166被重新引导至真空。另外,歧管160的输出通过阀V89和V165被引导到节点163并到喷头14中。歧管150的输出通过阀V69被引导至节点163并到喷头14中。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图8和图8D中,在RF阶段期间,用阀V215、MFC114和阀V210供给推气体。阀V202使推气体转向至真空。由歧管144供给的气体通过阀V166被重新引导至真空。歧管150的输出通过阀V69被引导至节点163并到喷头14中。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图8和图8E中,在RF后阶段期间,用阀V215、MFC114、阀V210、阀V214、阀V205和阀V55将推气体供给至HIM134。由歧管144供给气体至HIM134。HIM134的输出通过阀V166被转向至真空。歧管160的输出通过阀V89和V165被引导到节点163并到喷头14中。歧管150的输出通过阀V167被转向。可以使用阀V162将吹扫气体供给至喷头14的背面。
现在参考图9和图9A-9E,示出了在图2中的阀的操作的例子。在图9和图9A中,在投配阶段期间,用阀V215、MFC114、阀V210和阀V214将推气体供给至安瓿118。汽化的前体利用阀V205离开安瓿118并利用阀V206、限流孔142和阀V55流至HIM134。另外,来自歧管144的气体经由阀V46流至HIM134。汽化的前体经由阀V164继续通过阀歧管146至喷头14。可以使用阀V162将吹扫气体供给至喷头14的背面。歧管150通过阀V167转向。
在图9和图9B中,在投配吹扫阶段期间,用阀V215、MFC114、阀V210、阀V213、阀V206和阀V55将推气体供给至HIM134。通过歧管144供给的气体也被供给到HIM134。HIM134的输出通过阀V164B被供给至喷头14。另外,歧管160的输出通过阀V89和V165被引导到节点163并到喷头14中。歧管150的输出通过阀V167被转向。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图9和图9C中,在投配吹扫后阶段期间,用阀V215、MFC114、阀V210、阀V213、阀V206和阀V55将推气体供给至HIM134。通过歧管144供给的气体也被供给到HIM134。HIM134的输出通过阀V164B被供给至喷头14。另外,歧管160的输出通过阀V89和V165被供给至节点163并到喷头14中。歧管150的输出通过阀V69B被供给至喷头14。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图9和图9D中,在RF阶段期间,用阀V215、MFC114、阀V210、阀V213、阀V206和阀V55将推气体供给至HIM134。通过歧管144供给的气体也被供给到HIM134。HIM134的输出通过阀V166B被转向至真空。歧管150的输出通过阀V69B被供给至喷头14。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图9和9E中,在RF后阶段期间,用阀V215、MFC114、阀V210、阀V213、阀V206和阀V55将推气体供给至HIM134。通过歧管144供给的气体也被供给到HIM134。HIM134的输出通过阀V166B被转向至真空。另外,歧管160的输出通过阀V89和V165被供给至喷头14。歧管150的输出通过阀V167被转向至真空。可以使用阀V162将吹扫气体供给至喷头14的背面。
仅作为示例,投配阶段可具有0.4秒的持续时间,投配吹扫阶段可具有0秒的持续时间,投配吹扫后可以具有0.4秒的持续时间,RF阶段可以具有0.6秒的持续时间,RF后阶段可以具有0.1秒的持续时间,但也可使用其他的持续时间。在一些实例中,投配吹扫阶段可具有零或非零的持续时间。
现在参考图10和10A-10E,示出了在图2中的阀的操作的例子。在图10和10A中,在投配阶段期间,用阀V215、MFC114、阀V210和阀V214将推气体供给至安瓿118。汽化的前体利用阀V205离开安瓿118并利用阀V206、限流孔142和阀V55流至HIM134。另外,气体从歧管144流到HIM134。汽化的前体经由阀V164继续通过阀歧管146至喷头14。可以使用阀V162将吹扫气体供给至喷头14的背面。歧管150通过阀V167转向。
在图10和10B中,在投配吹扫阶段期间,用阀V215、MFC114、阀V210、阀V213、阀V206和阀V55将推气体供给至HIM134。通过歧管144供给的气体也被供给到HIM134。HIM134的输出通过阀V164B被供给至喷头14。歧管150的输出通过阀V69B被供给至喷头14。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图10和10C中,在投配吹扫后阶段期间,用阀V215、MFC114、阀V210、阀V213、阀V206和阀V55将推气体供给至HIM134。通过歧管144供给的气体也被供给到HIM134。HIM134的输出通过阀V166B被转向至真空。歧管150的输出通过阀V69B被供给至喷头14。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图10和10D中,在RF阶段期间,用阀V215、MFC114、阀V210、阀V213、阀V206和阀V55将推气体供给至HIM134。通过歧管144供给的气体也被供给到HIM134。HIM134的输出通过阀V166B被转向至真空。歧管150的输出通过阀V69B被供给至喷头14。可以使用阀V162将吹扫气体供给至喷头14的背面。
在图10和10E中,在RF后阶段期间,用阀V215、MFC114、阀V210、阀V214、阀V205、阀V206和阀V55将汽化的前体供给至HIM134。通过歧管144供给的气体也被供给到HIM134。HIM134的输出通过阀V166B被转向至真空。歧管150的输出通过阀V69B被供给至喷头14。可以使用阀V162将吹扫气体供给至喷头14的背面。
仅作为示例,投配阶段可具有0.4秒的持续时间,投配吹扫阶段可具有0秒的持续时间,投配吹扫后可以具有0.4秒的持续时间,RF阶段可以具有0.6秒的持续时间,RF后阶段可以具有0.1秒的持续时间,但也可使用其他的持续时间。在一些实例中,投配吹扫阶段可具有零或非零的持续时间。
现在参考图11和图11A-11E,示出了在图2中的阀的操作的例子。在图11和11A中,在投配阶段期间,用阀V215、MFC114、阀V210和阀V214将推气体供给至安瓿118。汽化的前体利用阀V205离开安瓿118并利用阀V206、限流孔142和阀V55流至HIM134。另外,气体从歧管144流至HIM134。汽化的前体经由阀V164继续通过阀歧管146至喷头14。吹扫气体可以经由阀V162被供给至喷头14的背面。歧管150通过阀V167被转向。歧管171经由阀V44和V165B供给气体至喷头14,同时阀V89被关闭。在一些实例中,阀供给氩(Ar),但也可使用其它气体。
在图11和11B中,在投配吹扫阶段期间,用阀V215、MFC114以及通向阀V214、阀V213和阀V202的一侧的阀供给推气体。由歧管144供给的气体通过阀V46被引导至HIM134。HIM134的输出被供给到阀V166B和V164B的一侧。歧管150的输出通过阀V167被转向。气体由歧管160经由阀V89和V165B被供给至喷头14。可以利用阀V162将吹扫气体供给至喷头14的背面。歧管171通过阀V36被转向至真空。
在图11和11C中,在投配吹扫后阶段期间,用阀V215、MFC114以及通向阀V214、阀V213和阀V202的一侧的阀供给推气体。通过歧管144供给的气体通过阀V46被引导至HIM134。HIM134的输出被供给到阀V166B和V164B的一侧。歧管150的输出通过阀V69B被供给至喷头14。气体由歧管160经由阀V89和V165B被供给至喷头14。可以利用阀V162将吹扫气体供给至喷头14的背面。歧管171通过阀V36转向至真空。
在图11和11D中,在RF阶段期间,用阀V215、MFC114以及通向阀V214、阀V213和阀V202的一侧的阀供给推气体。由歧管144供给的气体通过阀V46被引导至HIM134。HIM134的输出被供给到阀V166B和V164B的一侧。歧管150的输出通过阀V69B被供给至喷头。可以利用阀V162将吹扫气体供给至喷头14的背面。歧管171通过阀V36被转向至真空。
在图11和11E中,在RF后阶段期间,用阀V215、MFC114以及通向阀V214、阀V213和阀V202的一侧的阀供给推气体。由歧管144供给的气体通过阀V46被引导至HIM134。HIM134的输出被供给到阀V166B和V164B的一侧。歧管150的输出通过阀V167被转向至真空。气体由歧管160经由阀V89和V165B被供给至喷头14。可以利用阀V162将吹扫气体供给至喷头14的背面。歧管171通过阀V36被转向至真空。
仅作为示例,投配阶段可具有0.4秒的持续时间,投配吹扫阶段可具有0.3秒的持续时间,投配吹扫后可以具有0.1秒的持续时间,RF阶段可以具有0.4秒的持续时间,RF后阶段可以具有0.15秒的持续时间,但也可使用其他的持续时间。
现在参考图12,控制器40可被连接到温度传感器或热电偶123和125以提供温度反馈来控制加热器121。控制器40也可与液位传感器127通信以控制安瓿118的前体填充液位。控制器40还可以监控一个或多个压力传感器270,以使得位于蒸气传输系统的一个或多个管线的压力调节器271能调节。控制器40也可被用来控制限流孔142以调节管线的流导。在一些实例中,限流孔142可基于来自一个或多个系统传感器的反馈进行调节。控制器40也与通常由附图标记274标识的阀和MFC114通信。控制器40与诸如那些与歧管132、150和160相关联的一个或多个气体输送系统(统称标识为280)通信。
现在参考图13,示出了用于控制阀的方法的例子。在320,控制确定蒸气是否应该被输送。如果为真,在324控制继续,在投配阶段期间控制阀。当在326确定投配阶段结束,在330控制继续,在投配吹扫阶段期间控制阀。当在334确定投配吹扫阶段结束,在338控制继续,在投配吹扫后阶段期间控制阀。当在340确定投配吹扫后阶段结束时,在344控制继续,并在RF阶段期间控制阀。当在348确定RF阶段结束时,在352控制继续,并在RF后阶段控制阀。当在356确定RF后阶段结束时,控制结束。针对衬底,方法可以重复一次或更多次。
前面的描述在本质上仅仅是说明性的,并且决不旨在限制本公开、本公开的应用或用途。本公开的广泛教导可以以各种形式来实现。由于其它的修改将根据对附图、说明书和权利要求书的研究变得显而易见,因此,虽然本公开包括特定示例,但本公开的真实范围不应当受此限制。如本文所用,短语A、B和C中的至少一个应该被解释为指使用非排他性的逻辑或(OR)的逻辑(A或B或C),不应该被解释为指“A中的至少一个,B中的至少一个,和C中的至少一个”。应当理解的是,在方法中的一个或多个步骤可以以不同的顺序(或同时)而不改变本公开的原理来执行。
在本申请中,包括下面的定义,术语控制器可以被替换为术语电路。术语控制器可以指以下器件、以下器件的一部分、或包括以下器件:专用集成电路(ASIC);数字、模拟或混合模拟/数字分立电路;数字、模拟或混合模拟/数字集成电路;组合逻辑电路;现场可编程门阵列(FPGA);执行代码的处理器电路(共享的、专用的或群组的);存储由处理器电路执行的代码的存储器电路(共享的、专用的或群组的);提供所描述的功能的其它合适的硬件组件;或上述器件的部分或全部的组合,如在片上系统(asystem-on-chip)。
控制器可以包括一个或多个接口电路。在一些实例中,接口电路可以包括连接到局域网(LAN)、互联网、广域网(WAN)或它们的组合的有线或无线接口。本发明的任何给定的控制器的功能可以在利用接口电路连接的多个控制器之间分配。例如,多个控制器可以允许负载平衡。在进一步的例子中,服务器(也称为远程,或云)控制器可以代表客户控制器完成某些功能。
如上述使用的术语代码可以包括软件、固件和/或微代码,并且可以指程序、例程、函数、类程、数据结构和/或对象。术语共享处理器电路包括执行来自多个控制器的部分或全部代码的单个处理器电路。术语群组处理器电路包括与另外的处理器电路组合来执行来自一个或多个控制器的一些或全部代码的处理器电路。引用多个处理器电路包含分立的管芯上的多个处理器电路,单个管芯上的多个处理器电路,多核的单个处理器电路,多个线程的单个处理器电路,或以上的组合。术语共享存储器包括存储来自多个控制器的部分或全部代码的单个存储器。术语群组存储器包括与另外的存储器组合来存储来自一个或多个控制器的一些或全部代码的存储器电路。
术语存储器电路是术语计算机可读介质的子集。如本文所用的术语计算机可读介质不包括传播通过介质(诸如在载波上)的暂时性的电信号或电磁信号;因此术语计算机可读介质可以被认为是有形的和非暂时性的。非暂时性的有形计算机可读介质的非限制性实施例包括非易失性存储器电路(诸如闪存电路或掩模只读存储器电路),易失性存储器(诸如静态随机存取存储器电路和动态随机存取存储器电路),以及辅助存储器,诸如磁存储器(诸如磁带或硬盘驱动器)和光存储器。
本申请中描述的方法和装置可以部分或完全通过配置通用计算机以执行包含在计算机程序中的一个或多个特定的功能产生的专用计算机来实现。这些计算机程序包括存储在至少一个非暂时性的有形计算机可读介质上的处理器可执行指令。这些计算机程序也可以包括或依赖于所存储的数据。这些计算机程序可以包括与专用计算机的硬件进行交互的基本输入/输出系统(BIOS),与专用计算机的特定装置交互的设备驱动程序,一个或多个操作系统,用户应用程序,后台服务和应用程序等。这些计算机程序可以包括:(i)汇编代码;(ii)由编译器从源代码生成的目标代码;(iii)通过解译器执行的源代码;(iv)用于通过及时编译器编译和执行的源代码,(v)用于解析的描述性文本,如HTML(超文本标记语言)或XML(可扩展标记语言)等。仅作为例子,源代码可以用C、C++、C#、Objective-C、Haskell、Go、SQL、Lisp、ASP、Perl、HTML5、Ada,、ASP(动态服务器网页)、Perl、Scala、Erlang、Ruby、VisualLua,或来编写。
权利要求中所述的元件并非35U.S.C.§112(f)的含义内的装置加功能元件,除非元件使用短语“用于..的装置”明确陈述,或在使用短语“用于..的操作”或“用于...的阶段”的方法权利要求的情况下。

Claims (19)

1.一种用于衬底处理系统的蒸气输送系统,其包括:
安瓿,其用以储存液体前体;
加热器,其用以选择性地加热所述安瓿到预定的温度,以至少部分地汽化所述液体前体;
加热的喷射歧管,其包括入口和出口;
第一阀,其具有与推气源流体连通的入口和与所述安瓿流体连通的出口;
第二阀,其具有接收来自所述安瓿的汽化的前体的入口和与所述加热的喷射歧管的所述入口流体连通的出口;
阀歧管,其包括:
第一节点,其与所述加热的喷射歧管的出口流体连通;
第三阀,其具有与所述第一节点流体连通的入口和与真空流体连通的出口;
第四阀,其具有与所述第一节点流体连通的入口和与第二节点流体连通的出口;
第五阀,其具有与所述第二节点流体连通的出口;
第六阀,其具有与所述第二节点流体连通的出口;以及
气体分配装置,其与所述第二节点流体连通。
2.根据权利要求1所述的蒸气输送系统,其中所述气体分配装置包括喷头。
3.根据权利要求1所述的蒸气输送系统,其还包括:
第七阀,其具有与所述第二阀的所述出口流体连通的入口;
限流孔,其与所述第二阀的所述出口流体连通;以及
第八阀,其具有与所述限流孔流体连通的入口和与所述加热的喷射歧管流体连通的出口。
4.根据权利要求3所述的蒸气输送系统,其还包括:
第九阀,其具有与第一气体歧管流体连通的入口和与所述第五阀的入口流体连通的出口。
5.根据权利要求4所述的蒸气输送系统,其还包括第十阀,所述第十阀具有与所述第一气体歧管流体连通的入口和将气体从所述第一气体歧管供给至所述气体分配装置的背面的出口。
6.根据权利要求4所述的蒸气输送系统,其还包括第十阀,所述第十阀具有与第二气体歧管和所述第六阀的入口流体连通的入口,以及与真空源流体连通的出口。
7.根据权利要求6所述的蒸气输送系统,其还包括控制器,在投配阶段期间,所述控制器被配置为:
使用所述第一阀将推气体供给至所述安瓿;
使用所述第二阀、所述第七阀、所述限流孔和所述第八阀将来自所述安瓿的所述汽化的前体供给至所述加热的喷射歧管;
使用所述第四阀将来自所述加热的喷射歧管的所述汽化的前体供给至所述气体分配装置;以及
使用所述第十阀使所述第二气体歧管转向。
8.根据权利要求7所述的蒸气输送系统,其中所述控制器被进一步配置为:
在所述投配阶段之后,按顺序操作投配吹扫阶段、投配吹扫后阶段、射频(RF)阶段和RF后阶段;
在所述投配吹扫阶段,所述投配吹扫后阶段、所述RF阶段和所述RF后阶段时:
使用所述第一阀将推气体供给至所述安瓿;
使用所述第二阀、所述第七阀、所述限流孔和所述第八阀将来自所述安瓿的所述汽化的前体供给至所述加热的喷射歧管;以及
使用所述第三阀和所述第四阀将来自所述加热的喷射歧管的所述汽化的前体转向至真空;
在投配吹扫阶段时,使用所述第六阀和所述第十阀将来自所述第二气体歧管的气体转向,并使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置;
在所述投配吹扫后阶段时,使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置,使用所述第六阀将来自所述第二气体歧管的气体供给至所述气体分配装置;
在RF阶段时,使用所述第六阀将来自所述第二气体歧管的气体供给至所述气体分配装置,而没有使用所述第九阀将来自所述第一气体歧管的气体供给至所述气体分配装置;以及
在RF后阶段时,使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置,并使用所述第六阀和所述第十阀将来自所述第二气体歧管的气体转向。
9.根据权利要求7所述的蒸气输送系统,其中所述控制器进一步被配置为:
在所述投配阶段之后,按顺序操作投配吹扫阶段、投配吹扫后阶段、射频(RF)阶段和RF后阶段;
在所述投配吹扫阶段,所述投配吹扫后阶段,所述RF阶段和所述RF后阶段时,使用所述第二阀、所述第七阀、所述限流孔和所述第八阀将所述推气体在没有所述汽化的前体的情况下供给至所述加热的喷射歧管,并使用所述第四阀供给至所述气体分配装置;
在所述投配吹扫阶段时,使用所述第六阀和所述第十阀将来自所述第二气体歧管的气体转向,并使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置;
在所述投配吹扫后阶段时,使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置,并使用所述第六阀将来自所述第二气体歧管的气体供给至所述气体分配装置;
在所述RF阶段时,使用所述第六阀将来自所述第二气体歧管的气体供给至所述气体分配装置,而没有使用所述第九阀将来自所述第一气体歧管的气体供给至所述气体分配装置;以及
在所述RF后阶段时,使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置,并使用所述第六阀和所述第十阀将来自所述第二气体歧管的气体转向。
10.根据权利要求7所述的蒸气输送系统,其中所述控制器被进一步配置成:
在所述投配阶段之后,按顺序操作投配吹扫阶段、投配吹扫后阶段、射频(RF)阶段和RF后阶段;
在所述投配吹扫阶段、所述投配吹扫后阶段、所述RF阶段和所述RF后阶段时,使用所述第二阀、所述第七阀、所述限流孔和所述第八阀将所述推气体在没有所述汽化的前体的情况下供给至所述加热的喷射歧管,并使用所述第三阀和所述第四阀将来自所述加热的喷射歧管的所述推气体转向到真空;
在所述投配吹扫阶段时,使用所述第六阀和所述第十阀将来自所述第二气体歧管的气体转向,并使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置;
在所述投配吹扫后阶段时,使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置,并使用所述第六阀将来自所述第二气体歧管的气体供给至所述气体分配装置;
在所述RF阶段时,使用所述第六阀将来自所述第二气体歧管的气体供给至所述气体分配装置,而没有使用所述第九阀将来自所述第一气体歧管的气体供给至所述气体分配装置;以及
在所述RF后阶段时,使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置,并使用所述第六阀和所述第十阀将来自所述第二气体歧管的气体转向。
11.根据权利要求7所述的蒸气输送系统,其中所述控制器进一步被配置为:
在所述投配阶段之后,按顺序操作投配吹扫阶段、投配吹扫后阶段、射频(RF)阶段和RF后阶段;
在所述投配吹扫阶段、所述投配吹扫后阶段、所述RF阶段和所述RF后阶段时,将所述推气体转向至真空;
在所述投配吹扫阶段时,使用所述第六阀和所述第十阀将来自所述第二气体歧管的气体转向,并使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置;
在所述投配吹扫后阶段时,使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置,并使用所述第六阀将来自所述第二气体歧管的气体供给至所述气体分配装置;
在所述RF阶段时,使用所述第六阀将来自所述第二气体歧管的气体供给至所述气体分配装置,而没有使用所述第九阀将来自所述第一气体歧管的气体供给至所述气体分配装置;以及
在所述RF后阶段时,使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置,并使用所述第六阀和所述第十阀将来自所述第二气体歧管的气体转向。
12.根据权利要求7所述的蒸气输送系统,其中所述控制器进一步被配置为:
在所述投配阶段之后,按顺序操作投配吹扫阶段、投配吹扫后阶段、射频(RF)阶段和RF后阶段;
在所述投配吹扫阶段、所述投配吹扫后阶段、所述RF阶段和所述RF后阶段时,将所述推气体转向至真空,将来自第三气体歧管的气体供给至所述加热的喷射歧管,并使用所述第三阀将所述加热的喷射歧管的所述输出转向至真空;
在所述投配吹扫阶段时,使用所述第六阀和所述第十阀将来自所述第二气体歧管的气体转向,并使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置;
在所述投配吹扫后阶段时,使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置,并使用所述第六阀将来自所述第二气体歧管的气体供给至所述气体分配装置;
在所述RF阶段时,使用所述第六阀将来自所述第二气体歧管的气体供给至所述气体分配装置,而没有使用所述第九阀将来自所述第一气体歧管的气体供给至所述气体分配装置;以及
在所述RF后阶段时,使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置,并使用所述第六阀和所述第十阀将来自所述第二气体歧管的气体转向。
13.根据权利要求7所述的蒸气输送系统,其中所述控制器被进一步配置为:
在所述投配阶段之后,按顺序操作投配吹扫阶段、投配吹扫后阶段、射频(RF)阶段和RF后阶段;
在所述投配吹扫阶段时,使用所述第七阀、所述限流孔、所述第八阀和所述第四阀将所述推气体在没有所述汽化的前体的情况下供给至所述加热的喷射歧管和所述气体分配装置,并使用所述第十阀将来自所述第二气体歧管的气体转向,并使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置;
在所述投配吹扫后阶段和所述RF阶段时,使用所述第七阀、所述限流孔和所述第八阀将所述推气体在没有所述汽化的前体的情况下供给至所述加热的喷射歧管,并使用所述第三阀将所述加热的喷射歧管的所述输出转向;
在所述RF后阶段时,使用所述第七阀、所述限流孔和所述第八阀将汽化的前体供给至所述加热的喷射歧管,并使用所述第三阀将所述加热的喷射歧管的所述输出转向;
在所述投配吹扫后阶段时,使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置,并使用所述第六阀将来自所述第二气体歧管的气体供给至所述气体分配装置;
在所述RF阶段时,使用所述第六阀将来自所述第二气体歧管的气体供给至所述气体分配装置,而没有使用所述第九阀将来自所述第一气体歧管的气体供给至所述气体分配装置;以及
在RF后阶段时,使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置,并使用所述第十阀将来自所述第二气体歧管的气体转向。
14.根据权利要求7所述的蒸气输送系统,其中所述控制器进一步被配置为:
在所述投配阶段之后,按顺序操作投配吹扫阶段、投配吹扫后阶段、射频(RF)阶段和RF后阶段;
在所述投配吹扫阶段时,使用所述第七阀、所述限流孔、所述第八阀和所述第四阀将所述推气体在没有所述汽化的前体的情况下供给至所述加热的喷射歧管和所述气体分配装置,使用所述第六阀将来自所述第二气体歧管的气体供给至所述气体分配装置,而没有使用所述第九阀将来自所述第一气体歧管的气体供给至所述气体分配装置;
在所述投配吹扫后阶段和所述RF阶段时,使用所述第七阀、所述限流孔和所述第八阀将所述推气体在没有所述汽化的前体的情况下供给至所述加热的喷射歧管,并使用所述第三阀将所述加热的喷射歧管的所述输出转向;
在所述投配吹扫后阶段、所述RF阶段和所述RF后阶段时,使用所述第六阀将来自所述第二气体歧管的气体供给至所述气体分配装置,而没有使用所述第九阀将来自所述第一气体歧管的气体供给至所述气体分配装置;以及
在所述RF后阶段时,使用所述第一阀、所述第二阀、所述第七阀和所述第八阀将汽化的前体供给至所述加热的喷射歧管,并使用所述第三阀将所述加热的喷射歧管的所述输出转向。
15.根据权利要求7所述的蒸气输送系统,其中所述控制器进一步被配置为:
在所述投配阶段之后,按顺序操作投配吹扫阶段、投配吹扫后阶段、射频(RF)阶段和RF后阶段;
在所述投配阶段时,将来自第三歧管的气体通过第十一阀和所述第五阀供给至所述气体分配装置,同时关闭所述第九阀;
在所述投配吹扫阶段时,不供给吹扫气体也不供给汽化的前体到所述加热的喷射歧管,使用所述第十阀将来自所述第二气体歧管的气体转向,并使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置;
在所述投配吹扫后阶段时,不供给吹扫气体也不供给汽化的前体到所述加热的喷射歧管,使用所述第六阀将来自所述第二气体歧管的气体供给至所述气体分配装置,并使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置;
在所述RF阶段时,不供给吹扫气体也不供给汽化的前体到所述加热的喷射歧管,使用所述第六阀将来自所述第二气体歧管的气体供给至所述气体分配装置;以及
在所述RF后阶段时,不供给吹扫气体也不供给汽化的前体到所述加热的喷射歧管,使用所述第十阀将来自所述第二气体歧管的气体转向,以及使用所述第九阀和所述第五阀将来自所述第一气体歧管的气体供给至所述气体分配装置。
16.根据权利要求1所述的蒸气输送系统,其还包括:
液位传感器,其用以感测所述安瓿中的所述液体前体的液位;以及
前体供应源,其用以根据所述液位自动保持所述安瓿中的所述液体前体的液位。
17.根据权利要求16所述的蒸气输送系统,其还包括:
第一温度传感器,其用以感测在所述安瓿内的第一位置处的所述液体前体的温度;以及
第二温度传感器,其用以感测在所述安瓿内的第二位置处的所述液体前体的温度,
其中,所述第一位置被布置在目标填充液位,所述第二位置被布置在所述目标填充液位和再填充位置之间。
18.根据权利要求1所述的蒸气输送系统,其中,所述气体分配装置被设置在所述衬底处理室中,并且其中在所述衬底处理室中执行原子层沉积和化学气相沉积中的至少一种。
19.根据权利要求18所述的蒸气输送系统,其还包括等离子体发生器以在所述衬底处理室中产生等离子体。
CN201510482123.8A 2014-08-01 2015-08-03 用于蒸气输送的系统和方法 Active CN105316657B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462032234P 2014-08-01 2014-08-01
US62/032,234 2014-08-01
US14/798,652 US9970108B2 (en) 2014-08-01 2015-07-14 Systems and methods for vapor delivery in a substrate processing system
US14/798,652 2015-07-14

Publications (2)

Publication Number Publication Date
CN105316657A true CN105316657A (zh) 2016-02-10
CN105316657B CN105316657B (zh) 2018-06-08

Family

ID=55179419

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510482123.8A Active CN105316657B (zh) 2014-08-01 2015-08-03 用于蒸气输送的系统和方法

Country Status (6)

Country Link
US (1) US9970108B2 (zh)
JP (1) JP6868951B2 (zh)
KR (3) KR102435685B1 (zh)
CN (1) CN105316657B (zh)
SG (1) SG10201505938UA (zh)
TW (1) TWI671425B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109477613A (zh) * 2016-07-20 2019-03-15 昭和电工株式会社 气体供给装置和气体供给方法
CN109545708A (zh) * 2017-09-22 2019-03-29 Asm Ip控股有限公司 用于将气相反应物分配至反应腔室的设备和相关方法
CN110050086A (zh) * 2016-12-15 2019-07-23 Asm Ip控股有限公司 顺序渗透合成设备
CN112400222A (zh) * 2018-06-18 2021-02-23 应用材料公司 用于控制处理材料到沉积腔室的流动的设备和方法
WO2021262644A1 (en) * 2020-06-24 2021-12-30 Applied Materials, Inc. Apparatus and methods to reduce particles in a film deposition chamber

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10351953B2 (en) * 2017-03-16 2019-07-16 Lam Research Corporation Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US11255017B2 (en) 2017-03-16 2022-02-22 Lam Research Corporation Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
FI130416B (en) 2019-06-28 2023-08-21 Beneq Oy Precursor source arrangement and atomic layer growth apparatus
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021048233A (ja) * 2019-09-18 2021-03-25 株式会社Kokusai Electric 原料貯留システム、基板処理装置、クリーニング方法およびプログラム
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
KR20230077745A (ko) * 2020-09-28 2023-06-01 램 리써치 코포레이션 멀티-스테이션 증착 모듈을 위한 컴팩트한 모듈식 가스 분배 배관 및 가열 시스템
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023114067A1 (en) * 2021-12-13 2023-06-22 Lam Research Corporation Valve systems for balancing gas flow to multiple stations of a substrate processing system

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03183779A (ja) * 1989-12-12 1991-08-09 Applied Materials Japan Kk 化学的気相成長方法とその装置
EP0635583A1 (en) * 1993-07-20 1995-01-25 Santa Barbara Research Center Liquid deposition source gas delivery system
CN102597312A (zh) * 2009-11-16 2012-07-18 Fei公司 用于束处理系统的气体传输
TW201231715A (en) * 2010-12-17 2012-08-01 Veeco Instr Inc Gas injection system for chemical vapor deposition using sequenced valves
CN103510070A (zh) * 2012-06-15 2014-01-15 诺发系统公司 用于原子层沉积和化学气相沉积反应器的使用点阀歧管

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09298171A (ja) * 1996-05-08 1997-11-18 Tokyo Electron Ltd 処理ガスの供給方法及びその装置
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US20060133955A1 (en) * 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
JP5020407B2 (ja) * 2008-03-17 2012-09-05 アプライド マテリアルズ インコーポレイテッド アンプルのための加熱式バルブマニホールド
US8590570B2 (en) * 2008-06-02 2013-11-26 Eaton Corporation Two step valve actuator
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20100305884A1 (en) * 2009-05-22 2010-12-02 Applied Materials, Inc. Methods for determining the quantity of precursor in an ampoule
US20110048639A1 (en) * 2009-08-31 2011-03-03 E. I. Du Pont De Nemours And Company Apparatus and method for unloading a film cassette for gaseous vapor deposition
US8628618B2 (en) 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
JP5719138B2 (ja) * 2009-12-22 2015-05-13 株式会社日立国際電気 半導体装置の製造方法および基板処理方法
US20130068320A1 (en) * 2011-06-17 2013-03-21 Son Nguyen Protective material for gas delivery in a processing system
JP2014007289A (ja) * 2012-06-25 2014-01-16 Tokyo Electron Ltd ガス供給装置及び成膜装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03183779A (ja) * 1989-12-12 1991-08-09 Applied Materials Japan Kk 化学的気相成長方法とその装置
EP0635583A1 (en) * 1993-07-20 1995-01-25 Santa Barbara Research Center Liquid deposition source gas delivery system
CN102597312A (zh) * 2009-11-16 2012-07-18 Fei公司 用于束处理系统的气体传输
TW201231715A (en) * 2010-12-17 2012-08-01 Veeco Instr Inc Gas injection system for chemical vapor deposition using sequenced valves
CN103510070A (zh) * 2012-06-15 2014-01-15 诺发系统公司 用于原子层沉积和化学气相沉积反应器的使用点阀歧管

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109477613A (zh) * 2016-07-20 2019-03-15 昭和电工株式会社 气体供给装置和气体供给方法
US11427907B2 (en) 2016-07-20 2022-08-30 Showa Denko K.K. Gas supply apparatus and gas supply method
CN110050086A (zh) * 2016-12-15 2019-07-23 Asm Ip控股有限公司 顺序渗透合成设备
CN109545708A (zh) * 2017-09-22 2019-03-29 Asm Ip控股有限公司 用于将气相反应物分配至反应腔室的设备和相关方法
CN109545708B (zh) * 2017-09-22 2024-04-05 Asmip控股有限公司 用于将气相反应物分配至反应腔室的设备和相关方法
CN112400222A (zh) * 2018-06-18 2021-02-23 应用材料公司 用于控制处理材料到沉积腔室的流动的设备和方法
WO2021262644A1 (en) * 2020-06-24 2021-12-30 Applied Materials, Inc. Apparatus and methods to reduce particles in a film deposition chamber

Also Published As

Publication number Publication date
JP6868951B2 (ja) 2021-05-12
KR102569479B1 (ko) 2023-08-21
KR20160016680A (ko) 2016-02-15
KR102435685B1 (ko) 2022-08-23
TWI671425B (zh) 2019-09-11
US9970108B2 (en) 2018-05-15
CN105316657B (zh) 2018-06-08
US20160032453A1 (en) 2016-02-04
SG10201505938UA (en) 2016-03-30
TW201623674A (zh) 2016-07-01
JP2016035103A (ja) 2016-03-17
KR20230124534A (ko) 2023-08-25
KR20220119586A (ko) 2022-08-30

Similar Documents

Publication Publication Date Title
CN105316657A (zh) 用于蒸气输送的系统和方法
CN107452651B (zh) 用于固体和液体前体的蒸汽输送方法和装置
US9200367B2 (en) Method and apparatus for gas delivery
TWI671833B (zh) 用以改良沉積速率均勻性及減少基板處理系統中的缺陷之系統及方法
CN105088197B (zh) 在多站式衬底沉积系统中单个ald循环厚度的控制
CN105483655B (zh) 用于测量夹带蒸气的系统和方法
KR102568977B1 (ko) 가스 분배 네트워크에서의 흐름 밸런싱
JP2016035103A5 (zh)
CN105316651A (zh) 抑制喷头背面寄生等离子体的方法和装置
JP6945269B2 (ja) マルチトレイバラスト蒸気引き込みシステム
JP2009508332A (ja) キャリア・ガス混合を伴う前駆ガス搬送
JP2008240153A (ja) 前駆体用の供給装置
TW200800381A (en) Direct liquid injector device
CN104160481A (zh) 分离式泵送方法、装置和系统
KR20210073652A (ko) 고순도 프리커서용 캐니스터 시스템과 프리커스의 잔존량을 알 수 있는 모니터링 시스템
CN110021541A (zh) 动态冷却剂混合歧管
KR102017962B1 (ko) 샤워 헤드 및 성막 장치
JP2004514997A (ja) 低容量液体流の計量化送出のための方法および装置
KR100455224B1 (ko) 기화기
Shemakhin Simulation of Low-Pressure Inductively Coupled Plasma with Displacement Potential and Gas Flow
KR20230017145A (ko) 공정 챔버로의 전구체 전달을 모니터링하는 시스템 및 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant