KR20200116071A - 인장 텅스텐 막 및 압축 텅스텐 막의 형성 방법 - Google Patents

인장 텅스텐 막 및 압축 텅스텐 막의 형성 방법 Download PDF

Info

Publication number
KR20200116071A
KR20200116071A KR1020200124056A KR20200124056A KR20200116071A KR 20200116071 A KR20200116071 A KR 20200116071A KR 1020200124056 A KR1020200124056 A KR 1020200124056A KR 20200124056 A KR20200124056 A KR 20200124056A KR 20200116071 A KR20200116071 A KR 20200116071A
Authority
KR
South Korea
Prior art keywords
tungsten
substrate
chamber
plasma
treatment
Prior art date
Application number
KR1020200124056A
Other languages
English (en)
Inventor
펑 천
청-한 양
주웬 가오
로이 샤비브
라아시나 후마윤
더치 왕
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20200116071A publication Critical patent/KR20200116071A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

인장 또는 압축 텅스텐 막들을 증착하기 위한 방법, 장치, 및 시스템이 기재된다. 일 양태에서, 방법은 기판을 챔버에 제공하는 것을 포함한다. 기판은 필드 영역 및 필드 영역으로부터 리세스된 피쳐를 갖는다. 이후, 기판을 유기금속 텅스텐 전구체에 노출시킨다. 기판 상에 흡착되지 않은 유기금속 텅스텐 전구체를 챔버로부터 제거한다. 열 처리 또는 플라즈마 처리를 포함하는 제 1 처리로 기판을 처리하여 기판 상에 텅스텐 층을 형성한다. 기판을 처리한 이후, 잔류 가스들을 챔버로부터 제거한다. 기판 상의 텅스텐 층을 열 처리 또는 플라즈마 처리를 포함하는 제 2 처리로 처리한다.

Description

인장 텅스텐 막 및 압축 텅스텐 막의 형성 방법{METHODS OF FORMING TENSILE TUNGSTEN FILMS AND COMPRESSIVE TUNGSTEN FILMS}
본 발명은 인장 텅스텐 막 및 압축 텅스텐 막의 형성 방법에 관한 것이다.
화학 기상 증착 (CVD) 기술들을 이용한 텅스텐 막들의 증착은 많은 반도체 제조 프로세스들의 필수적인 부분이다. 텅스텐 막들은 저저항율 전기 접속부들로서 수평 접속부들, 인접하는 금속층들 사이에서의 비아들, 및 실리콘 기판 상의 디바이스들과 제 1 금속층 사이의 콘택들의 형태로 사용될 수도 있다. 종래의 텅스텐 증착 프로세스에서, 웨이퍼는 진공 챔버에서 프로세스 온도로 가열된 다음, 씨드 또는 핵생성 층의 역할을 하는, 텅스텐 막의 매우 얇은 부분이 증착된다. 이후, 텅스텐 막 (벌크 층) 의 나머지가 핵생성층 상에 증착된다. 종래, 텅스텐 벌크 층은 성장하는 텅스텐 층 상에서 수소 (H2) 에 의한 육불화 텅스텐 (WF6) 의 환원에 의해 형성된다.
인장 (tensile) 및 압축 (compressive) 텅스텐 막들을 증착하기 위한 방법, 장치, 및 시스템이 제공된다. 또한 인장 및/또는 압축 텅스텐 막들을, 예를 들어, 콘택들 및/또는 금속 게이트들로서 증착하는 것을 수반하는 집적화 (integration) 방법들, 및 텅스텐 막들을 통합한 반도체 디바이스들이 제공된다.
일부 실시형태들에서, 방법은 기판을 챔버에 제공하는 것을 포함한다. 기판은 필드 영역 및 필드 영역으로부터 리세스 (recess) 된 피쳐를 갖는다. 기판은 유기금속 텅스텐 전구체에 노출된다. 기판 상에 흡착되지 않은 유기금속 텅스텐 전구체는 챔버로부터 제거된다. 기판은 열 처리 또는 플라즈마 처리를 포함하는 제 1 처리로 처리되어 기판 상에 텅스텐 층을 형성한다. 기판을 처리한 이후, 잔류 가스들이 챔버로부터 제거된다. 기판 상의 텅스텐 층은 열 처리 또는 플라즈마 처리를 포함하는 제 2 처리로 처리된다.
일부 실시형태들에서, 증착 장치는 챔버 및 제어기를 포함한다. 제어기는, 기판을 챔버에 제공하는 것을 포함하는 프로세스를 실행하기 위한 프로그램 명령들을 포함한다. 기판은 필드 영역 및 필드 영역으로부터 리세스된 피쳐를 갖는다. 기판은 유기금속 텅스텐 전구체에 노출된다. 기판 상에 흡착되지 않은 유기금속 텅스텐 전구체는 챔버로부터 제거된다. 기판은 열 처리 또는 플라즈마 처리를 포함하는 제 1 처리로 처리되어 기판 상에 텅스텐 층을 형성한다. 기판을 처리한 이후, 잔류 가스들이 챔버로부터 제거된다. 기판 상의 텅스텐 층은 열 처리 또는 플라즈마 처리를 포함하는 제 2 처리로 처리된다.
일부 실시형태들에서, 비일시적 컴퓨터 머신 판독가능 매체는 챔버의 제어를 위한 프로그램 명령들을 포함한다. 그 명령들은 기판을 챔버에 제공하기 위한 코드를 포함한다. 기판은 필드 영역 및 필드 영역으로부터 리세스된 피쳐를 갖는다. 기판은 유기금속 텅스텐 전구체에 노출된다. 기판 상에 흡착되지 않은 유기금속 텅스텐 전구체는 챔버로부터 제거된다. 기판은 열 처리 또는 플라즈마 처리를 포함하는 제 1 처리로 처리되어 기판 상에 텅스텐 층을 형성한다. 기판을 처리한 이후, 잔류 가스들이 챔버로부터 제거된다. 기판 상의 텅스텐 층은 열 처리 또는 플라즈마 처리를 포함하는 제 2 처리로 처리된다.
개시된 실시형태들의 이들 및 다른 양태들은 도면들을 참조하여 아래에 더욱 설명된다.
명세서의 임의의 형태 부분에 통합되는, 첨부된 도면들은 개시된 실시형태들을 나타내고, 그리고 상세한 설명과 함께 개시된 실시형태들을 설명하는 역할을 한다:
도 1 은 일부 실시형태들에 따른 PMOS 디바이스의 개략도이다.
도 2 는 일부 실시형태들에 따른 NMOS 디바이스의 개략도이다.
도 3 은 일부 실시형태들에 따른 PMOS 디바이스의 개략도이다.
도 4 는 일부 실시형태들에 따른 NMOS 디바이스의 개략도이다.
도 5 는 일부 실시형태들에 따른 반도체 디바이스의 개략도이다.
도 6 은 일부 실시형태들에 따른 반도체 디바이스를 제조하는 방법에서의 동작들을 나타내는 프로세스 흐름도를 도시한다.
도 7 은 일부 실시형태들에 따른 텅스텐 층을 증착하는 방법에서의 동작들을 나타내는 프로세스 흐름도를 도시한다.
도 8 은 일부 실시형태들에 따라 텅스텐을 증착하는 방법에서 동작들을 나타내는 프로세스 흐름도를 도시한다.
도 9 는 다양한 실시형태들에 따라 텅스텐 증착 프로세스들을 실행하기에 적합한 프로세싱 시스템의 개략도이다.
도 10 은 다양한 실시형태들에 따라 텅스텐 증착 프로세스들을 실행하기에 적합한 증착 스테이션의 개략도이다.
도입
하기 상세한 설명에서, 개시된 실시형태들의 완전한 이해를 제공하기 위해서 다수의 특정 실시형태들이 기재된다. 하지만, 당업자들에게 명백한 바와 같이, 실시형태들은 이러한 구체적인 상세들 없이도 또는 대안의 엘리먼트들 또는 프로세스들을 이용함으로써 실행될 수도 있다. 다른 경우로 실시형태들의 양태들을 불필요하게 모호하게 하지 않기 위해서 주지된 프로세스들, 절차들, 및 컴포넌트들은 상세히 기재하고 있지 않다.
이 출원에서, 용어 "반도체 웨이퍼", "웨이퍼", 및 "부분적으로 제조된 집적 회로"는 상호교환적으로 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 집적 회로 제조의 많은 단계 중 임의의 단계 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 하기의 상세한 설명은, 실시형태들이 웨이퍼 상에 구현된다는 것을 가정한다. 하지만, 실시형태들은 그렇게 제한되지 않는다. 작업편 (work piece) 은 다양한 형태들, 사이즈들, 및 재료들의 것일 수도 있다. 반도체 웨이퍼들 이외에, 실시형태들을 이용할 수도 있는 다른 작업편들은, 인쇄 회로 기판 등과 같은 다양한 아티클들을 포함한다.
전하 캐리어들의 이동도를 증가시키기 위한 실리콘의 변형 (straining) 은 90 nm (nanometers) 이하의 테크놀로지 노드들에서 이용된다. 이것은 제 1 단계로서 높은 인장 응력 질화물 라이너 (liner) 들을 금속전 (pre-metal) 유전체 시퀀스에 도입함으로써 실행될 수도 있다. 예를 들어, 질화물 라이너 막은 금속 산화물 반도체 전계 효과 트랜지스터 (MOSFET) 에서 실리콘을 변형하기 위해서 사용되고; 인장 막은 n 형 금속 산화물 반도체 전계 효과 트랜지스터 (NMOS) 를 변형하기 위해서 사용되고 그리고 압축 막은 p 형 금속 산화물 반도체 전계 효과 트랜지스터 (PMOS) 를 변형하기 위해서 사용된다. NMOS 디바이스의 채널 영역에서 실리콘의 격자 상수를 증가시키기 위한 이 영역의 변형은 채널 영역에서의 전자들의 이동도를 증가시켜, 디바이스 성능을 개선한다. PMOS 디바이스의 채널 영역에서 실리콘의 격자 상수를 감소시키기 위한 이 영역의 변형은 채널 영역에서의 정공들의 이동도를 증가시켜, 디바이스 성능을 개선한다. 전계 효과 트랜지스터 디바이스들에서 전하 캐리어들, 즉, 전자들 또는 정공들의 이동도의 증가는, 디바이스들이 보다 빠르게 그리고 보다 적은 전력 손실로 동작하도록 허용한다. 하지만, "게이트-라스트 (gate-last)" 집적화 MOSFET 디바이스들에서 및 핀 전계 효과 트랜지스터 (FinFET) 디바이스들에서, 높은 인장 응력 막들은 실리콘으로부터 떨어진 거리에 위치하고, 결과적으로 디바이스 성능에서의 그 효과가 위태로워진다.
반도체 디바이스 성능은 또한 반도체 디바이스의 게이트 및 콘택들 내에 형성된 금속 막들에 의해 유도된 응력을 가변시킴으로써 개선될 수도 있다. 전통적으로, 알루미늄은 반도체 디바이스들의 게이트-라스트 집적화에서 게이트 금속으로 사용되고, 하부의 채널 영역에 상당히 응력을 가하지는 않는다. NMOS 디바이스 금속 게이트에서 금속으로서의 압축 텅스텐 막 또는 PMOS 디바이스 금속 게이트에서 금속으로서의 인장 텅스텐 막은, 아래에 더욱 기재된 바와 같이, 디바이스 성능을 향상시키기 위해서 사용될 수도 있다. 또한, 반도체 디바이스의 콘택 내측에서의 텅스텐 금속 막의 응력은 종래 반도체 제조에서 상당한 역할을 하지 못한다. 하지만, NMOS 디바이스 콘택들에서의 인장 텅스텐 막 또는 PMOS 디바이스 콘택들에서의 압축 텅스텐 막은, 또한 아래에 더욱 기재된 바와 같이, 디바이스 성능을 개선하기 위해서 사용될 수도 있다.
고종횡비 피쳐를 충진하기 위해 사용되는 통상의 텅스텐 막은 양호한 컨포머티 (conformity), 플러그 필, 및 배리어층들에 대한 접착성을 제공하기 위한 높은 인장 응력 막일 수도 있다. 이러한 텅스텐 막의 응력 레벨은 약 2,000 Å보다 더 두꺼운 막에 대해서 약 1.2x1010 dyne/cm2 (1.2 기가파스칼) 에서 약 1.4x1010 dyne/cm2 (1.4 기가파스칼) 까지의 범위이다. 상호접속부로서 사용되는 통상적인 텅스텐 막은 낮은 인장 응력 막일 수도 있고, 이것은 양호한 스텝 커버리지를 가지지 않을 수도 있다. 이러한 낮은 인장 응력 막의 응력 레벨은 약 2,000 Å보다 더 두꺼운 막에 대해서 약 1x1010 dyne/cm2 (1 기가파스칼) 미만일 수도 있다.
본 명세서에 개시된 텅스텐 증착 방법들은 인장 및 압축 막들을 제조하여 PMOS 디바이스들, NMOS 디바이스들, 및 다른 반도체 디바이스 애플리케이션들에서 변형 향상을 달성할 수도 있다. 인장 텅스텐 막들 및 인장 텅스텐 막들의 제조 방법들은 2011년 2월 3일에 출원된 미국 특허 출원 No. 13/020,748 에 더욱 기재되어 있으며, 이는 참조로써 본원에 통합된다.
디바이스들
PMOS 디바이스 성능을 개선시키기 위해, 디바이스의 게이트 영역 아래의 채널 영역에서의 정공들의 이동도가 증가되어야 한다. 이는 게이트 아래의 실리콘을 변형하여 격자 상수를 감소시킴으로써 달성될 수도 있다. 게이트 아래의 실리콘의 격자 상수보다 큰 격자 상수를 갖는, 소스 에어리어 및 드레인 에어리어에서의 SiGe 합금은 게이트 아래의 실리콘을 변형하여 격자 상수를 감소시키는데 종종 이용된다.
유사하게, 게이트를 충진하는 인장 응력 금속은 채널에서의 실리콘에 힘을 가해, 격자 상수를 감소시킨다. 따라서, 실리콘에 압축력을 적용하는 인장 응력 금속 게이트는 PMOS 디바이스 성능에 이로울 것이다.
도 1 은 일부 실시형태들에 따른 PMOS 디바이스의 개략도이다. 도 1 에 도시된 PMOS 디바이스 (100) 는 기판 (102), 금속 (106) 에 의해 기판 (102) 으로부터 분리된 전도성 게이트 (104), 및 게이트 유전체 (108) 를 포함한다. 기판 (102) 에서의 채널 영역 (110) 은 p형 소스 (112) 영역과 드레인 (114) 영역을 분리한다. 유전체 스페이서들 (116) 은 게이트 영역과 연관된다. 유전체 막 (118) 은 묘사된 PMOS 디바이스를 완성한다. 소스 (112) 영역 및 드레인 (114) 영역에 대한 콘택 (contact) 들은 도 1 에 도시되지 않음에 주의한다. 기판 (102) 은 일부 실시형태들에서 실리콘이다. 소스 (112) 영역 및 드레인 (114) 영역은 일부 실시형태들에서 SiGe 합금을 포함하여 채널 영역 (110) 에서 기판의 격자 상수를 감소시킬 수도 있다. 예를 들어, 갈륨 비소 (gallium arsenide) 및 다른 반도체 재료들이 또한 기판 (102), 소스 (112), 및 드레인 (114) 으로 이용될 수도 있다.
일부 실시형태들에서, PMOS 디바이스 (100) 의 전도성 게이트 (104) 는 인장 텅스텐 막을 포함한다. 도 1 에 도시된 벡터들은 PMOS 디바이스 (100) 에서의 인장 텅스텐 막의 효과를 나타낸다. 인장 텅스텐 막은 상이한 응력들을 나타낼 수도 있다. 인장 텅스텐 막에서의 일부 응력들은 기판 (102) 의 평면과 평행한 평면에 있을 수도 있고 (평행 응력들), 인장 텅스텐 막에서의 일부 응력들은 기판 (102) 의 평면과 수직인 평면에 있을 수도 있다 (수직 응력들). 인장 텅스텐 막의 평행 응력들은 벡터들 (132) 로 표시된다. 인장 텅스텐 막의 수직 응력들은 벡터들 (134) 로 표시된다.
기판 (102) 은 전도성 게이트 (104) 에서의 인장 텅스텐 막의 평행 응력들에 의해 변형된다. 텅스텐의 평행 응력들 (132) 은 채널 영역 (110) 에 변형 (136) 을 가한다. 평행 응력들 (132) 은 기판 (102) 의 채널 영역 (110) 의 격자 상수를 압축하여 감소시키는데, 이는 채널 영역에서의 정공들의 이동도를 증가시킨다. 예를 들어, 소스 영역 및 드레인 영역이 SiGe 를 포함하는 경우, 소스 (112) 영역 및 드레인 (114) 영역에 의해 채널 영역에 가해지는 응력들 (138) 에 응력들 (132) 이 추가된다.
일부 실시형태들에서, 인장 텅스텐 막의 수직 응력들이 PMOS 디바이스 (100) 에서는 최소의 역할을 한다. 텅스텐의 수직 응력들 (134) 은 압축 유전체 스페이서들의 응력들 (140) 에 의해 밸런싱되어, 기판 (102) 격자 상수에 영향을 미치지 않을 수도 있다. 존재하는 경우, 전도성 게이트 (104) 의 인장 텅스텐 막의 중앙에 있는 작은 심 (seam) (142) 이 또한 수직 응력들을 상쇄하는 것을 도울 수도 있다. 그 결과, 수직 응력들 (134) 이 아니라, 전도성 게이트 (104) 의 하부에서의 텅스텐의 평행 응력들 (132) 이 기판 (102) 의 격자 상수에 상당한 영향을 미칠 수도 있다.
NMOS 디바이스의 성능을 개선시키기 위해, 게이트 아래의 채널에서의 전자들의 이동도가 증가되어야 한다. 이는 일부 실시형태들에서 NMOS 디바이스를 밀봉하는 인장 유전체 막에 의해 달성된다. 유전체 막은 소스 에어리어와 드레인 에어리어를 변형하는데, 이는 결국 채널에서의 격자 상수를 증가시킨다. 압축이나 응력이 없는 금속 게이트가 따라서 NMON 성능에 이로울 것이다. 질화 티탄 (TiN, Titanium nitride) 또는 질화 탄탈 (TaN, tantalum nitride) 이 금속 게이트 영역에 이용되어 채널에서의 격자 상수를 증가시키는 것을 도울 수도 있다. 유사하게, 압축 텅스텐 금속 게이트는 채널에서의 실리콘에 인장 응력을 가할 것이어서, 채널에서의 격자 상수를 증가시킨다.
도 2 는 일부 실시형태들에 따른 NMOS 디바이스의 개략도이다. 도 2 에 도시된 NMOS 디바이스 (200) 는 기판 (202), 금속 (206) 에 의해 기판 (202) 으로부터 분리된 전도성 게이트 (204), 및 게이트 유전체 (208) 를 포함한다. 기판 (202) 에서의 채널 영역 (210) 은 n형 소스 (212) 영역과 드레인 (214) 영역을 분리한다. 유전체 스페이서들 (216) 은 게이트 영역과 연관된다. 유전체 막 (218) 은 묘사된 NMOS 디바이스를 완성한다. 소스 (212) 영역 및 드레인 (214) 영역에 대한 콘택들은 도 2 에 도시되지 않음에 주의한다.
유전체 막 (218) 은 일부 실시형태들에서 인장 유전체 막이다. 도 2 에 도시된 벡터들은 NMOS 디바이스 (200) 에서의 인장 유전체 막의 영향을 나타낸다. 인장 유전체 막의 평행 응력들 (232) 은 소스 (212) 영역 및 드레인 (214) 영역에 응력들 (234) 을 가한다. 응력들 (234) 은 결국 채널 영역 (210) 에 변형 (236) 을 생성하는데, 이는 채널 영역에서의 전자들의 이동도를 증가시킨다.
일부 실시형태들에서, NMOS 디바이스 (200) 의 전도성 게이트 (204) 는 압축 텅스텐 막을 포함한다. 압축 텅스텐 막에서의 일부 응력들은 기판 (202) 의 평면과 평행한 평면에 있을 수도 있고 (평행 응력들), 압축 텅스텐 막에서의 일부 응력들은 기판 (202) 의 평면과 수직인 평면에 있을 수도 있다 (수직 응력들). 압축 텅스텐 막의 평행 응력들은 벡터들 (252) 로 표시된다. 압축 텅스텐 막의 수직 응력들은 벡터들 (254) 로 표시된다.
기판 (202) 은 전도성 게이트 (204) 에서의 압축 텅스텐 막의 평행 응력들에 의해 변형된다. 텅스텐의 평행 응력들 (252) 은 채널 영역 (210) 에 변형 (236) 을 가한다. 평행 응력들 (252) 은 기판 (202) 의 채널 영역 (210) 의 격자 상수를 인장하여 증가시키는데, 이는 채널 영역에서의 전자들의 이동도를 증가시킨다. 예를 들어, 인장 유전체 막 (218) 에 의해 채널 영역에 가해지는 응력들 (234) 에 응력들 (252) 이 추가된다. 일부 실시형태들에서는, 게이트 (204) 에 심 (242) 이 존재한다.
응력 제어에 의한 PMOS 및 NMOS 디바이스 성능은 또한 각각 소스 및 드레인에 대한 콘택들에서의 압축 금속 또는 인장 금속을 이용하여 달성될 수도 있다. 텅스텐 금속은 종래의 가장 널리 이용되는 콘택 금속화 금속이다. 소스 콘택 및 드레인 콘택 내측에서의 텅스텐의 응력을 조정하는 것은 디바이스 성능을 향상시키기 위한 효과적이며, 비용 상쇄적이고 (cost neutral), 신뢰할 수 있는 방법을 제공한다. 이러한 접근법은 종래의 원통형 콘택 금속화 및 원기둥 형상의 콘택 금속화를 이용하는 신흥 기술 양자 모두와 호환가능하다.
도 3 은 일부 실시형태들에 따른 PMOS 디바이스의 개략도이다. 도 3 에 도시된 PMOS 디바이스 (100) 는 도 1 에 도시된 PMOS 디바이스 (100) 와 동일하며, 소스 영역 (112) 에 대한 콘택 (302) 및 드레인 영역 (114) 에 대한 콘택 (304) 을 추가로 갖는다.
일부 실시형태들에서, 콘택들 (302 및 304) 은 압축 텅스텐 막을 포함한다. 도 3 에 도시된 벡터들은 PMOS 디바이스 (100) 의 콘택들 (302 및 304) 에서의 압축 텅스텐 막의 영향을 나타낸다. 압축 텅스텐 막들은 상이한 응력들을 나타낼 수도 있다. 압축 텅스텐 막에서의 일부 응력들은 기판 (102) 의 평면과 평행한 평면에 있을 수도 있고 (평행 응력들), 압축 텅스텐 막에서의 일부 응력들은 기판 (102) 의 평면과 수직인 평면에 있을 수도 있다 (수직 응력들). 압축 텅스텐 막의 평행 응력들은 벡터들 (312) 로 표시된다. 압축 텅스텐 막의 수직 응력들은 벡터들 (314) 로 표시된다. 콘택들 (302 및 304) 의 압축 텅스텐 막의 중앙에 있는 작은 심들 (320) 이 또한 수직 응력들 (314) 을 상쇄하는 것을 도울 수도 있다.
기판 (102) 의 소스 (112) 영역 및 드레인 (114) 영역은 콘택들 (302 및 304) 에서의 압축 텅스텐 막의 평행 응력들에 의해 변형된다. 텅스텐의 평행 응력들 (312) 은 소스 (112) 영역 및 드레인 (114) 영역에 응력들 (316) 을 가한다. 소스 (112) 영역 및 드레인 (114) 영역에서의 응력들 (316) 은 채널 영역 (110) 에서의 실리콘의 격자 상수를 줄이는데, 이는 채널 영역에서의 정공 이동도를 증가시킨다.
PMOS 디바이스의 일부 실시형태들은 게이트 영역에서의 인장 텅스텐 막을 포함한다. PMOS 디바이스의 일부 실시형태들은 소스 영역 및 드레인 영역에 대한 콘택들을 위한 압축 텅스텐 막을 포함한다. PMOS 디바이스의 일부 실시형태들은 게이트 영역에서의 인장 텅스텐 막, 및 소스 영역 및 드레인 영역에 대한 콘택들을 위한 압축 텅스텐 막을 포함한다.
도 4 는 일부 실시형태들에 따른 NMOS 디바이스의 개략도이다. 도 4 에 도시된 NMOS 디바이스 (200) 는 도 1 에 도시된 NMOS 디바이스 (200) 와 동일하며, 소스 영역 (212) 에 대한 콘택 (402) 및 드레인 영역 (214) 에 대한 콘택 (404) 을 추가로 갖는다.
일부 실시형태들에서, 콘택들 (402 및 404) 은 인장 텅스텐 막을 포함한다. 도 4 에 도시된 벡터들은 NMOS 디바이스 (200) 의 콘택들 (402 및 404) 에서의 인장 텅스텐 막의 영향을 나타낸다. 인장 텅스텐 막들은 상이한 응력들을 나타낼 수도 있다. 인장 텅스텐 막에서의 일부 응력들은 기판 (202) 의 평면과 평행한 평면에 있을 수도 있고 (평행 응력들), 인장 텅스텐 막에서의 일부 응력들은 기판 (202) 의 평면과 수직인 평면에 있을 수도 있다 (수직 응력들). 인장 텅스텐 막의 평행 응력들은 벡터들 (412) 로 표시된다. 인장 텅스텐 막의 수직 응력들은 벡터들 (414) 로 표시된다. 콘택들 (402 및 404) 의 인장 텅스텐 막의 중앙에 있는 작은 심들 (403) 이 수직 응력들 (414) 을 상쇄하는 것을 도울 수도 있다.
기판 (202) 의 소스 (212) 영역 및 드레인 (214) 영역은 콘택들 (402 및 404) 에서의 인장 텅스텐 막의 평행 응력들에 의해 변형된다. 텅스텐의 평행 응력들 (412) 은 소스 (212) 영역 및 드레인 (214) 영역에 응력들 (416) 을 가한다. 소스 (212) 영역 및 드레인 (214) 영역에서의 응력들 (416) 은 채널 영역 (210) 에서의 실리콘의 격자 상수를 증가시키는데, 이는 채널 영역에서의 전자 이동도를 증가시킨다.
NMOS 디바이스의 일부 실시형태들은 게이트 영역에서의 압축 텅스텐 막을 포함한다. NMOS 디바이스의 일부 실시형태들은 소스 영역 및 드레인 영역에 대한 콘택들을 위한 인장 텅스텐 막을 포함한다. NMOS 디바이스의 일부 실시형태들은 게이트 영역에서의 압축 텅스텐 막, 및 소스 영역 및 드레인 영역에 대한 콘택들을 위한 인장 텅스텐 막을 포함한다.
도 5 는 일부 실시형태들에 따른 반도체 디바이스의 개략도이다. 도 5 에 도시된 반도체 디바이스 (500) 는 PMOS 디바이스 (100) 및 NMOS 디바이스 (200) 를 포함한다. PMOS 디바이스 (100) 및 NMOS 디바이스 (200) 는 STI (shallow trench isolation) 피쳐 (502) 를 이용하여 서로로부터 분리된다. PMOS 디바이스 (100) 의 실시형태들은 게이트 영역에서의 인장 텅스텐 막, 및/또는 소스 영역 및 드레인 영역에 대한 콘택들을 위한 압축 텅스텐을 포함할 수도 있다. NMOS 디바이스 (200) 의 실시형태들은 게이트 영역에서의 압축 텅스텐, 및/또는 소스 영역 및 드레인 영역에 대한 콘택들을 위한 인장 텅스텐을 포함할 수도 있다.
위에서 설명된 디바이스들이 평면 트랜지스터들을 포함하지만, 인장 텅스텐 막 및 압축 텅스텐 막의 이용은 이러한 디바이스들로 제한되지 않고, 다른 디바이스들에서 이용될 수도 있다. 예를 들어, 인장 텅스텐 막 및 압축 텅스텐 막은 3중 게이트 핀 전계 효과 트랜지스터 (tri-gate fin field effect transistor; tri-gate finFET) 및 게이트 올 어라운드 (gate-all-around) 전계 효과 트랜지스터들을 포함하나 이로 제한되지는 않는 3차원 구조들에서 이용될 수도 있다.
이하, 인장 텅스텐 막 및 압축 텅스텐 막을 형성하는 방법들이 설명된다.
방법들
도 6 은 일부 실시형태들에 따른 반도체 디바이스를 제조하는 방법 (600) 에서의 동작들을 나타내는 프로세스 흐름도를 도시한다. 예를 들어, 반도체 디바이스는 위에서 설명된 바와 같이 PMOS 디바이스 및/또는 NMOS 디바이스를 포함할 수도 있다. 방법 (600) 의 실시형태들은 "게이트 퍼스트 (gate-first)" 및 "게이트 래스트 (gate-last)" 집적화 방식들에 이용될 수도 있다.
동작 602 에서, 게이트, 소스, 및 드레인을 갖는 반도체 기판이 제공된다. 게이트, 소스, 및 드레인은 위에서 설명된 바와 같은 PMOS 디바이스 또는 NMOS 디바이스에 대한 게이트, 소스, 및 드레인일 수도 있다. 또한, 반도체 기판은 다수의 PMOS 디바이스 및/또는 NMOS 디바이스에 대한 1 개보다 많은 게이트, 소스, 및 드레인을 포함할 수도 있다.
동작 604 에서, 게이트 영역 및 소스 콘택과 드레인 콘택이 정의된다. 소스 콘택과 드레인 콘택은 반도체 기판의 소스와 드레인에 접촉한다. 게이트 영역 및 소스 콘택과 드레인 콘택은, 당업자에게 공지된 바와 같은, 포토리소그래피 테크닉들 및/또는 희생 막들을 이용하여 정의될 수도 있다.
동작 606 에서, 게이트 영역 및 소스 콘택과 드레인 콘택이 오픈된다. 예를 들어, 게이트 영역 및 소스 콘택과 드레인 콘택은 습식 및 건식 화학 에칭을 포함하는 에칭 테크닉들에 의해 오픈될 수도 있다.
동작 608 에서, 본원에 설명된 바와 같이, 선택된 게이트 영역들 및/또는 소스 콘택과 드레인 콘택에 압축 텅스텐 막이 증착된다. 압축 텅스텐 막이 증착되는 에어리어들은, 예를 들어, 포토리소그래피 테크닉들 및/또는 희생 막들을 이용하여 정의될 수도 있다.
동작 610 에서, 본원에 설명된 바와 같이, 선택된 게이트 영역들 및/또는 소스 콘택과 드레인 콘택에 인장 텅스텐 막이 증착된다. 인장 텅스텐 막이 증착되는 에어리어들은, 예를 들어, 포토리소그래피 테크닉들 및/또는 희생 막들을 이용하여 정의될 수도 있다.
특정 시퀀스의 동작들은 구현에 따라 달라질 수도 있고, 하나 이상의 동작들이 생략될 수도 있거나 추가적인 동작들이 수행될 수도 있음을 당업자들은 이해할 것이다. 예를 들어, 일부 실시형태들에서, 상쇄 응력 텅스텐 또는 다른 금속이 응력 또는 압축 텅스텐에 더해 또는 그 대신에 증착될 수도 있다.
일부 실시형태들에서, 텅스텐 층은 원자 층 증착 (atomic layer deposition; ALD) 유형의 프로세스에 의해 형성될 수도 있다. ALD 는 전구체들이라고도 지칭되는, 하나 이상의 화학 반응물들에 의해 수행되는 박막 증착 테크닉이다. ALD 는 순차적인, 자기 제한 (self-limiting) 표면 반응들에 기초한다. 전구체들은 가스 상으로 반응 챔버에 순차적으로 들어가게 되는데, 여기서 전구체들이 작업편 (즉, 코팅되는 표면 또는 표면들) 과 접촉한다. 예를 들어, 제 1 전구체는 반응 챔버에 들어가게 되는 경우 표면 상에 흡착된다. 그 다음에, 제 2 전구체가 반응 챔버에 들어가게 되는 경우, 제 1 전구체는 표면에서 제 2 전구체와 반응한다. 전구체들의 교번하는 순차적 펄스들에 표면을 반복적으로 노출시킴으로써, 재료의 박막이 증착된다. ALD 프로세스들은 또한 단일 전구체의 순차적 펄스들에 표면이 노출되는 프로세스들을 포함하는데, 이는 표면 상에 재료의 박막을 증착한다. ALD 는 일반적으로 컨포멀 (conformal) 층, 즉, 아래에 있는 표면의 윤곽들을 정확히 따르는 층을 형성한다.
도 7 은 일부 실시형태들에 따른 텅스텐 층을 증착하는 방법 (700) 에서의 동작들을 나타내는 프로세스 흐름도를 도시한다. 동작 702 에서, 예를 들어, 프로세싱 챔버 또는 진공 챔버와 같은 챔버에 기판이 제공된다. 일부 실시형태들에서, 기판은 필드 영역 및 필드 영역으로부터 리세스된 피쳐를 가질 수도 있다. 일부 실시형태들에서, 피쳐는 고종횡비 피쳐일 수도 있다. 다양한 실시형태들에 따라, 기판 피쳐는 적어도 5:1, 적어도 10:1, 적어도 15:1, 적어도 20:1, 적어도 25:1, 또는 적어도 30:1 의 종횡비를 갖는다. 다양한 실시형태들에 따라, 피쳐 크기는 종횡비에 더해 또는 종횡비 대신에 피쳐 개구 크기에 의해 특징지어진다. 예를 들어, 피쳐 개구 크기는 약 10 nn 내지 100 nm 너비, 또는 약 10 nm 내지 50 nm 너비일 수도 있다. 일부 실시형태들에서, 방법은 종횡비에 상관 없이 좁은 개구들을 갖는 피쳐들에 의해 이용될 수도 있다. 일부 실시형태들에서, 피쳐는 경사진 측벽들을 포함하여, 피쳐 개구 크기가 피쳐의 하부에서의 피쳐의 너비보다 작다. 일부 실시형태들에서, 피쳐는 피쳐 내에 공동 (cavity) 들 및/또는 다른 피쳐들을 포함한다.
일부 실시형태들에서, 피쳐는 기판 상의 유전체 층 내에 형성되며, 피쳐의 하부는 아래에 있는 금속 층과의 콘택을 제공한다. 예를 들어, 피쳐는 PMOS 디바이스 또는 NMOS 디바이스의 소스 영역 또는 드레인 영역에 대한 콘택일 수도 있다. 일부 실시형태들에서, 피쳐는 기판 상의 금속 층 내에 형성된다. 예를 들어, 피쳐는 게이트와 채널 영역 간의 작업 기능 차이를 조절하는데 이용되는 PMOS 디바이스 또는 NMOS 디바이스의 게이트 영역에서의 금속일 수도 있다. 일부 실시형태들에서, 피쳐는 피쳐의 측벽들 및/또는 하부에 라이너/배리어 층을 포함한다. 라이너 층들의 예들은 Ti/TiN, TiN, WN, TiC, 및 WC 를 포함한다. 확산 배리어 층들에 더해 또는 확산 배리어 층들 대신에, 피쳐는 접착 층, 핵생성 층, 이들의 조합, 또는 피쳐의 측벽들 및 하부를 라이닝하는 (lining) 임의의 다른 적용가능한 재료와 같은 층들을 포함할 수도 있다.
동작 704 에서, 유기금속 텅스텐 전구체에 기판이 노출된다. 일부 실시형태들에서, 유기금속 텅스텐 전구체는 할로겐이 없거나 또는 비할로겐 유기금속 텅스텐 전구체이다. 할로겐계 화학물질들은 예를 들어, 실리콘 또는 실리사이드 (silicide) 와 같은 아래에 있는 표면을 공격할 수도 있고, 할로겐이 없거나 비할로겐 유기금속 텅스텐 전구체의 이용은 텅스텐 전구체가 아래에 있는 표면과 화학적으로 반응하는 것을 방지할 수도 있다. 일부 할로겐이 없는 유기금속 텅스텐 전구체들은 산소 및 질소를 함유할 수도 있다. 일부 실시형태들에서, 유기금속 텅스텐 전구체는 시클로펜타디에닐기, 터셔리-부틸기, 카르보닐기, 또는 디메틸기를 포함할 수도 있다. 일부 실시형태들에서, 유기금속 텅스텐 전구체는 알킬기, 알케닐기, 알키닐기, 및 페닐기 중 임의의 것을 포함하는 지방족 또는 아릴일 수도 있다. 유기금속 텅스텐 전구체는 또한 예를 들어, 니트로실기 및 아미노기의 형태로 탄소 및/또는 질소를 포함할 수도 있다. 그러한 화합물의 일부 예들은 텅스텐 헥사카르보닐, 에틸시클로펜타디에닐 디카르보닐 니트로실 텅스텐, 에틸시클로펜타디에닐 디하이드로젠 트리카르보닐 텅스텐, 비스(시클로펜타디에닐)텅스텐 디하이드라이드, 비스(tert-부틸이미노)비스(tert-부틸아미노)텅스텐, 시클로펜타디에닐텅스텐(Ⅱ) 트리카르보닐 하이드라이드, 비스(tert-부틸이미노)비스(디메틸아미노)텅스텐, 및 (메틸시클로펜타디에닐)디카르보닐니트로실 텅스텐을 포함하나, 이로 제한되지는 않는다. 할로겐이 없는 유기금속 텅스텐 전구체 및 비할로겐 유기금속 텅스텐 전구체는 미국 특허 No. 8,053,365에서 더 설명되며, 이는 참조로써 본원에 통합된다. 일부 다른 실시형태들에서, 동작 704 에서는, 유기금속 텅스텐 전구체가 아닌 텅스텐을 함유하는 전구체에 기판이 노출될 수도 있다. 이러한 텅스텐을 함유하는 전구체들은 예를 들어, WF6 및 육염화 텅스텐 (WCl6) 을 포함한다.
동작 706 에서, 기판 상에 흡착되지 않은 유기금속 텅스텐 전구체가 챔버로부터 제거된다. 예를 들어, 챔버는 아르곤, 수소, 질소, 또는 헬륨과 같은 캐리어 가스에 의해 퍼징될 수도 있다. 소정의 실시형태들에서, 아르곤이 캐리어 가스로서 이용된다. 가스 퍼지는 기판 표면 근처의 영역들에서 잔류 가스 반응물들을 치울 수도 있다.
동작 708 에서, 유기금속 텅스텐 전구체가 열 처리 또는 플라즈마 처리를 포함하는 제 1 처리로 처리되어 기판 상에 텅스텐 층을 형성한다. 일부 실시형태들에서, 유기금속 텅스텐 전구체는 아르곤, 수소, 질소, 및/또는 암모니아를 포함하는 플라즈마로 처리될 수도 있다. 일부 실시형태들에서, 동작 708 은 예를 들어, 아르곤/수소를 함유하는 플라즈마로 기판을 처리하는 것을 포함할 수도 있다. 일부 실시형태들에서, 약 0.1 와트 내지 2000 와트, 약 0.1 와트 내지 1200 와트, 또는 약 200 와트 내지 700 와트의 RF (radio frequency) 전력으로 플라즈마가 생성될 수도 있다. 일부 실시형태들에서, 플라즈마 처리 중의 기판 온도는 약 100 ℃ 내지 550 ℃, 약 100 ℃ 내지 350 ℃, 또는 약 150 ℃ 내지 300 ℃ 일 수도 있다. 수소 함유 플라즈마는 유기금속 텅스텐 전구체와 반응하거나 유기금속 텅스텐 전구체를 분해하여 텅스텐 층을 형성한다. 수소 함유 플라즈마는 또한 저저항율 텅스텐 층들을 생산할 수도 있다. 암모니아 또는 질소 함유 플라즈마는 형성중인 텅스텐 층에 질소를 통합할 수도 있다.
일부 실시형태들에서, 열 처리는 약 100℃ 내지 550℃, 약 100℃ 내지 350℃, 약 150℃ 내지 300℃ 의 온도에 기판을 노출시키는 것을 포함할 수도 있다. 일부 실시형태들에서, 열 처리는 아르곤, 수소, 질소 및 암모니아 중 하나 이상을 포함하는 분위기에서 수행될 수도 있다.
동작 (710) 에서, 잔류 가스들이 챔버로부터 제거된다. 예를 들어, 챔버에 진공이 풀링 (pulling) 될 수도 있고, 이는 챔버에서 압력을 감소시키고 잔류 가스들을 제거한다. 대안으로, 챔버는 아르곤, 수소, 질소 또는 헬륨과 같은 캐리어 가스에 의해 퍼징될 수도 있다. 일부 실시형태들에서, 아르곤이 캐리어 가스로서 이용된다.
동작 (712) 에서, 기판 상의 텅스텐 층은 열 처리 또는 플라즈마 처리를 포함하는 제 2 처리에 의해 처리된다. 일부 실시형태들에서, 동작 (712) 은 동작 (708) 과 유사할 수도 있다. 예를 들어, 일부 실시형태들에서, 양자의 동작들 (708 및 712) 은 아르곤, 수소, 질소 및/또는 암모니아를 함유하는 플라즈마로 기판을 처리하는 것을 포함할 수도 있다. 일부 실시형태들에서, 플라즈마 전력 및/또는 기판 온도는 동작들 (708 및 712) 에서 동일할 수도 있고, 일부 다른 실시형태들에서, 플라즈마 전력 및/또는 기판 온도는 동작들 (708 및 712) 에서 상이할 수도 있다.
일부 실시형태들에서, 동작 (712) 에서의 열 처리는 약 100℃ 내지 550℃, 약 100℃ 내지 350℃, 약 150℃ 내지 300℃ 의 온도에 기판을 노출시키는 것을 포함할 수도 있다. 일부 실시형태들에서, 열 처리는 아르곤, 수소, 질소 및 암모니아 중 하나 이상을 포함하는 분위기에서 수행될 수도 있다.
일부 다른 실시형태들에서, 동작 (712) 은 동작 (708) 과 상이할 수도 있다. 예를 들어, 일부 실시형태들에서, 동작 (708) 은 제 1 전력에서 생성되고 수소를 함유하는 플라즈마로 기판을 제 1 온도에서 처리하는 것을 포함할 수도 있다. 동작 (712) 은 제 2 전력에서 생성되고 암모니아를 함유하는 플라즈마로 기판을 제 2 온도에서 처리하는 것을 포함할 수도 있다. 다른 예로서, 일부 실시형태들에서, 동작 (708) 은 제 1 전력에서 생성되고 암모니아를 함유하는 플라즈마로 기판을 제 1 온도에서 처리하는 것을 포함할 수도 있다. 동작 (712) 은 제 2 전력에서 생성되고 수소를 포함하는 플라즈마로 기판을 제 2 온도에서 처리하는 것을 포함할 수도 있다. 플라즈마 가스들의 다른 조합들이 이용될 수도 있다. 또 다른 예로서, 일부 실시형태들에서, 제 1 처리는 열 처리일 수도 있고, 제 2 처리는 플라즈마 처리일 수도 있다. 일부 다른 실시형태들에서, 제 1 처리는 플라즈마 처리일 수도 있고, 제 2 처리는 열 처리일 수도 있다.
동작 (714) 에서, 잔류 가스들이 챔버로부터 제거된다. 예를 들어, 챔버에 진공이 풀링될 수도 있고, 이는 챔버에서 압력을 감소시키고 잔류 가스들을 제거한다. 대안으로, 챔버는 아르곤, 수소, 질소 또는 헬륨과 같은 캐리어 가스에 의해 퍼징될 수도 있다. 일부 실시형태들에서, 아르곤이 캐리어 가스로서 이용된다.
일부 실시형태들에서, 방법 (700) 에서 형성된 텅스텐 층은 텅스텐 금속, 질소, 텅스텐 질화물, 탄소, 및/또는 텅스텐 탄화물을 포함할 수도 있다. 예를 들어, 텅스텐 전구체 또는 텅스턴 층이 동작들 (708 및/또는 712) 에서의 암모니아 또는 질소 함유 플라즈마로 처리될 경우, 텅스텐 층은 텅스텐 질화물을 형성할 수도 있는 질소를 포함할 수도 있다. 유기금속 텅스텐 전구체가 질소 및/또는 탄소를 포함할 경우, 텅스텐 층은 질소, 텅스텐 질화물, 탄소 및/또는 텅스텐 탄화물을 포함할 수도 있다.
일부 실시형태들에서, 텅스텐 층은 추가의 처리들로 처리될 수도 있다. 예를 들어, 동작 (712) 이후에, 동작 (714) 에서 잔류 가스들이 챔버에 진공을 풀링함으로써 챔버로부터 제거될 수도 있거나, 잔류 가스들이 아르곤, 수소, 질소, 또는 헬륨과 같은 캐리어 가스에 의해 퍼징될 수도 있다. 그 후에, 기판 상의 텅스텐 층은 열 처리 또는 플라즈마 처리를 포함하는 제 3 처리로 처리될 수도 있다. 일부 실시형태들에서, 제 3 처리는 제 1 및/또는 제 2 처리와 유사할 수도 있고, 일부 다른 실시형태들에서, 제 3 처리는 제 1 및/또는 제 2 처리와 상이할 수도 있다. 제 4 처리, 제 5 처리, 제 6 처리 등의 처리들이 또한 수행될 수도 있다.
일부 실시형태들에서, 동작들 (712 및 714) 이 수행되지 않을 수도 있다. 즉, 텅스텐 층은 동작 (708) 에서 형성된 이후, 처리되지 않을 수도 있다.
일부 실시형태들에서, 방법 (700) 은 약 0.1 옹스트롬 내지 1 옹스트롬의 두께를 갖는 텅스텐 층을 형성할 수도 있다. 약 0.1 옹스트롬의 두께를 갖는 텅스텐 층은 평균 막 두께가 약 0.1 옹스트롬인 것을 나타내고, 텅스텐 막은 기판의 표면상에서 균일한 두께를 가지지 않을 수도 있음을 당업자는 인식할 것이다.
일부 실시형태들에서, 동작들 (704, 706, 708, 710, 712 및 714) 또는 동작들 (704, 706, 708 및 710) 은 원하는 두께를 갖는 텅스텐 층을 형성하도록 반복될 수도 있다. 예컨대, 이러한 동작들은 약 1 옹스트롬 내지 약 400 옹스트롬의 두께를 갖는 텅스텐 층을 형성하도록 다수 회 반복될 수도 있다.
일부 실시형태들에서, 동작 (704) 에서 기판을 유기금속 텅스텐 전구체에 노출시키기 전에, 기판은 기판 표면상의 노출된 금속들 상에 존재할 수도 있는 산화물을 제거하도록 처리될 수도 있다. 예를 들어, 처리는 플라즈마 스퍼터링 처리와 같은 플라즈마 처리를 포함할 수도 있거나, 수소 또는 암모니아와 같은 반응성 종을 포함하는 플라즈마로의 노출을 포함할 수도 있다. 이러한 처리는 기판에 대한 텅스텐 층의 접착성을 개선시킬 수도 있다.
일부 실시형태들에서, 플라즈마는 동작 (704) 에서 이온-유도 원자층 증착 (iALD) 타입 프로세스에서 기판을 유기금속 전구체에 노출할 경우 존재할 수도 있다. 플라즈마는 약 0.1 와트 내지 100 와트의 RF 전력으로 생성될 수도 있다. iALD 타입 프로세스는 텅스텐 층의 접착성을 개선시킬 수도 있거나, 텅스텐 층의 증착율을 개선시킬 수도 있다. 또한, iALD 프로세스들은 일반적으로 다른 방법들에 의해 제조된 층들의 밀도와 비교하여 더 높은 밀도를 가지는 재료의 층들을 제조한다. iALD 프로세스들은 또한 매우 등각의 층들을 제공하고 이러한 층들의 두께의 정확한 제어를 제공하는 것을 포함하는 추가의 장점들을 갖는다. iALD 프로세스들은, 모두가 본원에 참조로써 통합되는 미국 특허 Nos. 6,428,859, 6,416,822, 7,871,678 및 8,053,372 에 더욱 기재된다. iALD 프로세스들은 또한, 본원에 참조로써 통합되는, 2011년 9월 23일에 출원된 미국 특허 출원 No. 13/244,009 에 기재된다.
일부 실시형태들에서, 방법 (700) 에서 유기금속 텅스텐 전구체 및 프로세스 조건들에 의존하여, 압축 텅스텐 층 또는 인장 텅스텐 층이 형성될 수도 있다. 예를 들어, 일부 실시형태들에서, 텅스텐 층의 압축 응력은 적어도 약 0.5 기가파스칼 (GPa) 또는 약 0.5 기가파스칼 내지 3 기가파스칼일 수도 있다. 일부 다른 실시형태들에서, 텅스텐 층의 인장 응력은 적어도 약 0.5 기가파스칼 또는 약 0.5 기가파스칼 내지 4.5 기가파스칼일 수도 있다.
표 1 은 텅스텐 층들이 할로겐이 없는 유기금속 텅스텐 전구체로 증착된 프로세스 조건들을 도시한다. 6 개의 상이한 프로세스들 (즉, 프로세스들 A-F) 은 약 45 옹스트롬 내지 340 옹스트롬 두께 범위로 상이한 두께의 텅스텐 층들을 증착하는데 이용되었다. 각각의 증착된 텅스텐 층의 응력이 또한 표시되며, 음의 응력은 압축 층을 나타내고 양의 응력은 인장 층을 나타낸다.
프로세스들 A-E 에서는, 텅스텐 층이 형성된 후 처리되지 않았으며; 즉 텅스텐 층은 원하는 텅스텐 두께를 달성하기 위해 도 7 과 관련하여 전술된 동작들 (704 내지 710) 의 다중 사이클들을 수행함으로써 증착되었고, 동작들 (712 및 714) 은 수행되지 않았다. 프로세스 F 에서는, 텅스텐 층이 형성된 후 처리되었으며; 즉, 텅스텐 층은 원하는 텅스텐 두께를 달성하기 위해 도 7 과 관련하여 전술된 동작들 (704 내지 714) 의 다중 사이클들을 수행함으로써 증착되었다. 동작들 (708) 은 아르곤 및 수소 함유 플라즈마로 수행되었고, 동작 (712) 은 아르곤 및 암모니아 함유 플라즈마로 수행되었다.
표에 도시된 것과 같이, 소정 온도 (예컨대, 약 300℃) 에서, 더 높은 플라즈마 전력 (예컨대, 약 600 와트의 RF 전력 또는 약 500 와트 초과의 RF 전력) 은 압축 텅스텐 층을 제조하고, 더 낮은 플라즈마 전력 (예컨대, 약 200, 300 또는 450 와트의 RF 전력 또는 약 500 와트 미만의 RF 전력) 은 인장 텅스텐 층을 제조한다. 프로세스들 C-F 를 보면, 약 300℃ 에서 상이한 플라즈마 전력으로 수행되었다. 소정의 플라즈마 전력 (예컨대, 약 450 와트의 RF 전력) 에서, 더 낮은 프로세스 온도 (예컨대, 약 150 ℃ 또는 약 225℃ 미만) 는 압축 텅스텐 층을 제조하고, 더 높은 프로세스 온도 (예컨대, 약 300℃ 또는 약 225℃ 초과) 는 인장 텅스텐 층을 제조한다. 프로세스들 A, B 및 E 를 보면, 상이한 온도에서 약 450 와트의 플라즈마 전력으로 수행되었다.
텅스텐 층을 증착하기 위한 프로세스 조건들
프로세스 프로세스 가스들 프로세스
온도 (℃)
플라즈마
전력 (W)
텅스텐 층
두께
(Å)
응력 (GPa)
A 아르곤, 수소 150 450 339.2 -1.8
B 아르곤, 수소 150 450 47.5 -2.0
C 아르곤, 수소 300 300 45.1 3.5
D 아르곤, 수소 300 600 87.2 -0.4
E 아르곤, 수소 300 450 100.3 0.8
F 아르곤, 수소 / 아르곤, 암모니아 300 200 86.2 2.4
일부 실시형태들에서, 압축 텅스텐 층은 방법 (700) 에 의해 형성될 수도 있고, 그 후, 상이한 프로세스 조건들 및/또는 텅스텐 전구체들로 수행된 방법 (700) 에 의해 인장 텅스텐 층이 압축 텅스텐 층 상에 형성될 수도 있다. 일부 다른 실시형태들에서, 인장 텅스텐 층은 방법 (700) 에 의해 형성될 수도 있고, 그 후, 상이한 프로세스 조건들 및/또는 텅스텐 전구체들로 수행된 방법 (700) 에 의해 압축 텅스텐 층이 인장 텅스텐 층 상에 형성될 수도 있다. 추가의 압축 및/또는 인장 텅스텐 층들이 추가로 텅스텐 층 상에 증착될 수도 있다. 이러한 실시형태들은 원하는 최종 압축 또는 인장 응력들을 갖는 텅스텐 층 또는 응력이 없는 텅스텐 층이 형성되도록 허용할 수도 있다. 일부 실시형태들에서, 동작들 (704, 706, 708, 710, 712 및 714) 모두는 동일한 프로세스 챔버에서 수행될 수도 있다. 일부 실시형태들에서, 동작들 (704, 706, 708, 710, 712 및 714) 모두는 동일한 프로세스 챔버에서 상이한 기판 홀더들 또는 받침대들 상에서 그것들을 이용하여 수행될 수도 있다. 일부 다른 실시형태들에서, 동작들 (704, 706, 708, 710, 712 및 714) 의 일부는 동일한 프로세스 챔버들에서 상이한 기판 홀더들 또는 받침대들 상에서 그것들을 이용하여 수행될 수도 있다. 일부 실시형태들에서, 동작들 (704, 706, 708, 710, 712 및 714) 의 일부는 상이한 프로세스 챔버들에서 수행될 수도 있다. 예를 들어, 동작들 (704 내지 708) 은 제 1 프로세스 챔버에서 수행될 수도 있고, 그 후에 기판이 제 2 프로세스 챔버로 전달될 수도 있고, 그 후에 동작들 (710 내지 714) 이 제 2 프로세스 챔버에서 수행될 수도 있다.
도 8 은 일부 실시형태들에 따라 텅스텐을 증착하는 방법 (800) 의 동작들을 나타내는 프로세스 흐름도를 도시한다. 동작 (702) 에서, 기판은 도 7 과 관련하여 전술된 것과 같은 챔버에 제공된다.
동작 (802) 에서, 원하는 두께를 갖는 텅스텐 층이 기판 상에 증착된다. 텅스텐 층은 도 7 과 관련하여 전술된 것과 같이, 동작들 (704 내지 714) 또는 동작들 (704 내지 710) 을 포함하는 방법에 의해 증착될 수도 있다. 텅스텐 층은 추가의 텅스텐 증착을 위한 핵생성 층으로서 작용할 수도 있다. 예컨대, 텅스텐 층은 고품질의 텅스텐 증착을 지원하기에 충분한 핵생성 층 두께를 형성하도록 증착될 수도 있다. 일부 실시형태들에 따르면, 약 30 옹스트롬 내지 50 옹스트롬 두께의 텅스텐 층이 핵생성 층으로서 형성될 수도 있고, 일부 다른 실시형태들에서, 약 10 옹스트롬 내지 15 옹스트롬 두께의 텅스텐 층이 핵생성 층으로서 형성될 수도 있다.
동작 (804) 에서, 텅스텐 층을 형성한 후에, 텅스텐은 화학 기상 증착 (CVD) 프로세스에 의해 증착된다. 예컨대, 환원제 및 텅스텐 함유 전구체는 벌크 텅스텐 층을 증착하기 위해 챔버 내로 흐를 수도 있다. 비활성 캐리어 가스는 미리 혼합될 수도 있거나 미리 혼합되지 않을 수도 있는 반응물 스트림들 중 하나 이상을 전달하는데 이용될 수도 있다. ALD-타입 프로세스와 달리, 이러한 동작은 일반적으로 원하는 양의 재료가 증착될 때까지 계속해서 반응물들을 흐르게 하는 것을 수반한다. 일부 실시형태들에서, CVD 프로세스는 다중 스테이지들로 발생할 수도 있고, 반응물들의 연속적인 동시 흐름의 다중 주기들 (multiple periods) 은 우회된 하나 이상의 반응물 흐름들의 주기들에 의해 분리된다.
WF6, WCl6, 및 텅스텐 헥사카르보닐 (W(CO)6) 을 포함하지만 이에 제한되지 않는 다양한 텅스텐 함유 가스들이, 텅스텐 함유 CVD 전구체로서 이용될 수도 있다. 일부 실시형태들에서, 텅스텐 함유 CVD 전구체는 WF6 와 같은 할로겐 함유 화합물이다. 일부 실시형태들에서, 환원제는 수소 가스이지만, 다른 환원제들이 이용될 수도 있으며, 실란 (SiH4), 디실란 (Si2H6), 히드라진 (N2H4), 디보란 (B2H6), 및 게르만 (GeH4) 을 포함한다.
전술된 것과 같이, 4 개의 MOSFET 디바이스 실시형태들, 즉 게이트 영역에서의 인장 텅스텐 막을 포함하는 PMOS 디바이스, 소스 및 드레인 영역에 대한 콘택을 위한 인장 텅스텐 막을 포함하는 NMOS 디바이스, 소스 및 드레인 영역에 대한 콘택을 위한 압축 텅스텐 막을 포함하는 PMOS 디바이스, 및 게이트 영역에서의 압축 텅스텐 막을 포함하는 NMOS 디바이스는 서로 독립적으로 구현될 수도 있다. PMOS 디바이스 및 NMOS 디바이스를 포함하는 반도체 디바이스의 제작 프로세스의 일부 실시형태들에서, 인장 텅스텐 막은 PMOS 디바이스 게이트 및 NMOS 디바이스 콘택들에 대해 단일 세트의 프로세스 동작들로 증착될 수도 있다. 단일 세트의 프로세스 동작들은 예컨대, 인장 텅스텐 막의 증착을 더 비용 효과적이게 할 수도 있다. PMOS 디바이스 및 NMOS 디바이스를 포함하는 반도체 디바이스의 제작 프로세스의 일부 실시형태들에서, 압축 텅스텐 막은 NMOS 디바이스 게이트 및 PMOS 디바이스 콘택들에 대해 단일 세트의 프로세스 동작들로 증착될 수도 있다. 유사하게, 단일 세트의 프로세스 동작들은 예컨대, 압축 텅스텐 막의 증착을 더 비용 효과적이게 할 수도 있다.
일부 실시형태들에서, 인장 텅스턴막들과 압축 텅스텐 막들 양자를 단일 반도체 디바이스에 증착하기 위해, 마스크들 및/또는 희생막들이 이용되어 텅스텐이 증착된 영역들을 제어한다. 유사하게, 인장 텅스텐 막들과 압축 텅스텐 막들 양자가 단일 반도체 웨이퍼 상에 제작되는 PMOS 구조들 및 NMOS 구조들에 증착되는 일부 실시형태들에서, 마스크들 및/또는 희생막들이 이용되어 텅스텐이 증착되는 영역들을 제어한다. 마스크들 및/또는 희생막들을 채용하는 포토리소그래피 기술들은 당업자에게 잘 알려져 있다.
추가로, 인장 텅스텐 막들 및 압축 텅스텐 막들은 금속 게이트 증착 모듈에서, 콘택 금속화 증착 모듈에서, 또는 "게이트 라스트" 집적화 방식으로 집적될 수도 있다. 프로세싱 장치가 하기에서 추가로 설명된다. 이러한 모듈들 각각의 집적화는 다른 모듈들과 독립적일 수도 있기 때문에, 인장 텅스텐 막들 및 압축 텅스텐 막들은 임의의 타입의 텅스텐 증착 모듈에서 증착될 수도 있다.
추가로, 상이한 레벨의 응력을 갖는 압축 텅스텐 막들은 NMOS 디바이스 게이트 또는 PMOS 디바이스 콘택에 증착될 수도 있다. 유사하게, 상이한 레벨의 응력을 갖는 인장 텅스텐 막들은 PMOS 디바이스 게이트 또는 NMOS 디바이스 콘택에 증착될 수도 있다. 텅스텐 막의 응력 레벨은 디바이스 성능을 개선시키기 위해 증착 파라미터들에 따라 변화될 수도 있다.
장치
본 명세서에 개시된 방법들은 다양한 판매자들로부터 입수가능한 다양한 타입의 증착 장치들에서 실행될 수도 있다. 적절한 장치의 예들은, Novellus Concept-1 Altus™, Concept 2 Altus™, Concept-2 ALTUS-S™, Concept 3 Altus™, Altus Max™, 또는 다양한 다른 상업적으로 입수가능한 툴들 중 임의의 것을 포함한다. 일부 경우에, 방법들은 다중 증착 스테이션들에서 순차적으로 수행될 수 있다. 본원에 참조로써 통합된 미국 특허 No. 6,143,082 를 보라. 일부 실시형태들에서, 텅스텐은 단일 증착 챔버 내에 위치된 2, 5 또는 그 이상의 증착 스테이션들 중 하나인 제 1 스테이션에 증착된다. 따라서, 텅스텐 함유 전구체 및 다른 프로세스 가스들은 제 1 스테이션에서, 기판 표면에 국부화된 분위기를 생성하는 개별 가스 공급 시스템을 이용하여 반도체 기판의 표면에 도입될 수도 있다. 그 후에, 처리들을 수행하기 위해 제 1 스테이션이 이용될 수도 있다. 하나 이상의 스테이션들은 이후 추가의 처리들을 위해, 또는 CVD 프로세스를 통해 텅스텐을 증착하기 위해 이용될 수도 있다. 2 이상의 스테이션들이 병렬 프로세싱 동작으로 CVD 를 수행하기 위해 이용될 수도 있다. 대안으로, 웨이퍼는 2 이상의 스테이션들에 거쳐 순차적으로 수행된 CVD 동작들을 가지도록 인덱싱될 수도 있다.
도 9 는 다양한 실시형태들에 따라 텅스텐 증착 프로세스들을 수행하기에 적합한 프로세싱 시스템의 개략도이다. 시스템 (1800) 은 전송 모듈 (1803) 을 포함한다. 전송 모듈 (1803) 은 프로세싱되고 있는 기판들이 다양한 반응기 모듈들 사이에서 이동함에 따라, 그 기판들의 오염의 위험을 최소화시키기 위해 깨끗한 가압 환경을 제공한다. 전송 모듈 (1803) 에 장착된 것은, 다양한 실시형태들에 따라 텅스텐 함유 전구체로의 노출, 상이한 처리들, 및 CVD 프로세스들을 수행할 수 있는 다중-스테이션 반응기 (1809) 이다. 챔버 (1809) 는 이러한 동작들을 순차적으로 수행할 수도 있는 스테이션들 (1811, 1813, 1815 및 1817) 을 포함하는 다중 스테이션들을 포함한다. 예를 들어, 챔버 (1809) 는 스테이션 (1811) 이 텅스텐 함유 전구체로의 노출 및 제 1 처리를 수행하고, 스테이션 (1813) 이 제 2 처리를 수행하며, 스테이션들 (1815 및 1817) 이 CVD 를 수행하도록 구성될 수 있다. 각각의 증착 스테이션은 가열된 웨이퍼 받침대 및 샤워헤드, 분산판 또는 다른 가스 주입구를 포함한다. 증착 스테이션 (1900) 의 일 예가 도 10 에 도시되며, 웨이퍼 지지부 (1902) 및 샤워헤드 (1903) 를 포함한다. 히터는 받침대 부분 (1901) 에 제공될 수도 있다.
또한, 전송 모듈 (1803) 상에는 플라즈마 또는 화학적 (비-플라즈마) 사전 세정 (pre-clean) 을 수행할 수 있는 하나 이상의 단일 또는 다중 스테이션 모듈들 (1807) 이 장착될 수도 있다. 모듈은 또한 포스트 라이너 텅스텐 질화물 처리들과 같은 다양한 다른 처리들을 위해 이용될 수도 있다. 시스템 (1800) 은 또한 하나 이상 (이 경우, 2개) 의 웨이퍼 소스 모듈들 (1801) 을 포함하며, 여기서 웨이퍼들은 프로세싱 이전 및 이후에 저장된다. 대기 전송 챔버 (1819) 에서 대기 로봇 (미도시) 은 먼저 소스 모듈들 (1801) 로부터의 웨이퍼들을 로드 록들 (1821) 로 제거한다. 전송 모듈 (1803) 내의 웨이퍼 전송 디바이스 (일반적으로, 로봇 암 유닛) 는 로드 록들 (1821) 로부터의 웨이퍼를 전송 모듈 (1803) 에 장착된 모듈들로 및 모듈들 사이로 이동시킨다.
일부 실시형태들에서, 시스템 제어기 (1829) 는 증착동안 프로세스 조건들을 제어하도록 채용된다. 제어기는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 중앙 프로세싱 유닛 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들 등을 포함할 수도 있다.
제어기는 증착 장치의 활동 모두를 제어할 수도 있다. 시스템 제어기는 타이밍, 가스들의 혼합, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨들, 웨이퍼 척 또는 받침대 위치 및 특정 프로세스의 다른 파라미터들을 제어하기 위한 명령들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행한다. 제어기와 연관된 메모리 디바이스들에 저장된 다른 컴퓨터 프로그램들이 일부 실시형태들에서 채용될 수도 있다.
통상적으로, 사용자 인터페이스는 제어기와 연관된다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
프로세스 시퀀스로 증착 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어로 기록될 수도 있으며; 예컨대, 프로그래밍 언어들은 어셈블리 언어, C, C++, 파스칼, 포트란 등을 포함한다. 컴파일링된 오브젝트 코드 또는 스크립트는 프로세서에 의해 프로그램에서 식별된 작업들을 수행하기 위해 실행된다.
제어기 파라미터들은 예컨대, 프로세스 가스 조성 및 유량, 온도, 압력, RF 전력 레벨들과 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 조건들을 처리하는 것과 관련된다. 이러한 파라미터들은 레시피의 형태로 사용자에게 제공되며, 사용자 인터페이스를 활용하여 입력될 수도 있다.
프로세스를 모니터하기 위한 신호들은 시스템 제어기의 아날로그 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치의 아날로그 및 디지털 출력 접속부들에서 출력된다.
시스템 소프트웨어는 다수의 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 오브젝트들은 독창적인 증착 프로세스들을 실행하는데 필요한 챔버 컴포넌트들의 동작을 제어하도록 기록될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 히터 제어 코드, 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램은 기판을 받침대 또는 척 상에 로딩하고 기판과 챔버의 다른 부분들, 예컨대 가스 주입구 및/또는 타겟 간의 간격을 제어하는데 이용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 유량을 제어하고, 선택적으로 챔버 내의 압력을 안정화시키기 위해 증착 이전에 챔버 내로 가스를 흐르게 하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 챔버의 배기 시스템에서 예컨대, 스로틀 밸브를 조정함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 기판을 가열하는데 이용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안으로, 히터 제어 프로그램은 헬륨과 같은 열 전달 가스의 웨이퍼 척으로의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 챔버 센서들의 예들은 질량 흐름 제어기들, 마노미터와 같은 압력 센서들, 및 받침대 또는 척에 위치된 열전대들을 포함한다. 적절히 프로그래밍된 피드백 및 제어 알고리즘들은 원하는 프로세스 조건들을 유지하기 위해 상기 센서들로부터의 데이터와 함께 이용될 수도 있다. 앞의 설명은 단일 또는 다중 챔버 반도체 프로세싱 툴에서 본원에 개시된 실시형태들의 구현예들을 기술한다.
본 명세서에 설명된 장치/프로세스들은 예컨대, 반도체 디바이스들, 디스플레이들, LED들, 광전지 패널들 등의 제작 또는 제조를 위한 리소그래피 패터닝 툴들 또는 프로세스들과 결합하여 이용될 수도 있다. 통상적으로, 필수적인 것은 아니지만, 이러한 툴들/프로세스들은 일반적인 제조 시설에서 함께 이용되거나 수행될 것이다. 필름의 리소그래피 패턴화는 통상적으로, 각각의 단계가 복수의 가능한 툴들에 의해 인에이블되는, 하기의 단계들 중 일부 또는 전부를 포함한다: (1) 스핀-온 또는 스프레이-온 툴을 이용한 작업편, 즉 기판 상에 포토레지스트의 도포; (2) 핫 플레이트 또는 퍼니스 또는 UV 경화 툴을 이용한 포토레지스트의 경화; (3) 웨이퍼 스텝퍼와 같은 툴을 이용한 포토레지스트의 가시광 또는 UV 또는 X-선 광으로의 노광; (4) 레지스트를 선택적으로 제거하고, 이를 습식 벤치와 같은 툴을 이용하여 패턴화하기 위한 레지스트의 현상; (5) 건식의 또는 플라즈마 보조 에칭 툴을 이용함으로써 레지스트 패턴을 기저막 또는 작업편으로 전사; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트리퍼와 같은 툴을 이용한 레지스트의 제거.
다른 실시형태들
개시된 실시형태들의 범위 내에 있는 변경들, 변형들, 치환들, 및 대체 등가물들이 존재한다. 예를 들어, 인장 또는 압축 텅스텐 막들을 증착시키기 위한 실시형태들이 설명되었다. 전술된 방법들은 전반적인 기판 상에 및 기판의 피쳐들 내에 인장 또는 압축 텅스텐 막들을 증착시키기 위해 이용될 수도 있다. 전술된 방법들은 또한, 다른 금속막들을 형성하기 위해 적용할 수 있다.
방법들의 실시형태들은 또한 본원에 참조로써 통합된 미국 특허 No. 7,670,931 에서 추가로 설명된 것과 같이 백사이드 응력 층들을 갖는 반도체 구조들을 제작하기 위해 이용될 수도 있다.
또한, 개시된 실시형태들의 방법들 및 장치들을 구현하는 복수의 대안적인 방식들이 존재함에 유의하여야 한다. 그러므로, 이하 첨부된 청구항들은 개시된 실시형태들의 진정한 사상 및 범위 내에 있는 것과 같은 모든 그러한 변경들, 변형들, 치환들 및 대체 등가물들을 포함하는 것으로 해석되도록 의도된다.

Claims (22)

  1. (a) 필드 영역 및 상기 필드 영역으로부터 리세스된 피쳐를 갖는 기판을 챔버에 제공하는 단계;
    (b) 상기 기판을 유기금속 텅스텐 전구체에 노출시키는 단계;
    (c) 상기 기판 상에 흡착되지 않은 상기 유기금속 텅스텐 전구체를 상기 챔버로부터 제거하는 단계;
    (d) 상기 기판 상에 텅스텐 함유층을 형성하도록 열 처리 또는 플라즈마 처리를 포함하는 제 1 처리로 상기 기판을 처리하는 단계로서, 상기 텅스텐 함유층은 텅스텐 탄화물을 포함하는, 상기 기판을 처리하는 단계;
    (e) 상기 동작 (d) 이후, 상기 챔버로부터 잔류 가스들을 제거하는 단계; 및
    (f) 상기 기판 상의 상기 텅스텐 함유층을, 열 처리 또는 플라즈마 처리를 포함하는 제 2 처리로 처리하는 단계를 포함하는, 증착 방법.
  2. 제 1 항에 있어서,
    상기 제 1 처리는, 아르곤, 수소, 질소, 및 암모니아 중 하나 이상을 포함하는 제 1 플라즈마에 의한 상기 플라즈마 처리를 포함하는, 증착 방법.
  3. 제 2 항에 있어서,
    상기 제 2 처리는, 아르곤, 수소, 질소, 및 암모니아 중 하나 이상을 포함하는 제 2 플라즈마에 의한 상기 플라즈마 처리를 포함하고, 상기 제 1 플라즈마에서의 종은 상기 제 2 플라즈마에서의 종과 상이한, 증착 방법.
  4. 제 1 항에 있어서,
    상기 제 1 플라즈마 처리 및 상기 제 2 플라즈마 처리는 동일한 처리들을 포함하는, 증착 방법.
  5. 제 1 항에 있어서,
    상기 기판의 온도는 상기 동작 (d) 및 상기 동작 (f) 에서의 상기 플라즈마 처리들 동안 100℃ ~ 550℃ 인, 증착 방법.
  6. 제 1 항에 있어서,
    상기 동작 (b) 는 0.1 와트 ~ 100 와트의 무선 주파수 전력에 의해 발생된 플라즈마의 존재하에서 수행되는, 방법.
  7. 제 1 항에 있어서,
    상기 동작 (d) 및 상기 동작 (f) 에서의 상기 플라즈마 처리들은 0.1 와트 ~ 2000 와트의 무선 주파수 전력에 의해 발생된 플라즈마를 이용하여 수행되는, 증착 방법.
  8. 제 1 항에 있어서,
    상기 동작 (d) 및 상기 동작 (f) 에서 상기 플라즈마 처리들은 200 와트 ~ 700 와트의 무선 주파수 전력에 의해 발생된 플라즈마를 이용하여 수행되는, 증착 방법.
  9. 제 1 항에 있어서,
    상기 동작 (d) 및 상기 동작 (f) 에서 상기 열 처리들은 100℃ ~ 550℃ 의 온도에서 수행되는, 증착 방법.
  10. 제 1 항에 있어서,
    상기 동작 (d) 및 상기 동작 (f) 에서 상기 열 처리들은 아르곤, 수소, 질소, 및 암모니아 중 하나 이상을 포함하는 상기 챔버의 분위기에서 수행되는, 증착 방법.
  11. 제 1 항에 있어서,
    (g) 상기 동작 (f) 이후, 상기 챔버로부터 잔류 가스들을 제거하는 단계; 및
    상기 동작 (f) 를 반복하는 단계를 더 포함하는, 증착 방법.
  12. 제 1 항에 있어서,
    (g) 상기 동작 (f) 이후, 상기 챔버로부터 잔류 가스들을 제거하는 단계; 및
    상기 동작 (b) 내지 상기 동작 (f) 를 반복하는 단계를 더 포함하는, 증착 방법.
  13. (a) 필드 영역 및 상기 필드 영역으로부터 리세스된 피쳐를 갖는 기판을 챔버에 제공하는 단계;
    (b) 상기 기판을 유기금속 텅스텐 전구체에 노출시키는 단계;
    (c) 상기 기판 상에 흡착되지 않은 상기 유기금속 텅스텐 전구체를 상기 챔버로부터 제거하는 단계;
    (d) 상기 기판 상에 텅스텐 층을 형성하도록 열 처리 또는 플라즈마 처리를 포함하는 제 1 처리로 상기 기판을 처리하는 단계;
    (e) 상기 동작 (d) 이후, 상기 챔버로부터 잔류 가스들을 제거하는 단계; 및
    (f) 상기 기판 상의 상기 텅스텐 층을, 열 처리 또는 플라즈마 처리를 포함하는 제 2 처리로 처리하는 단계; 및
    상기 동작 (b) 내지 상기 동작 (f) 를 반복하는 단계를 포함하며,
    상기 동작 (b) 내지 상기 동작 (f) 가 제 1 프로세스 조건 하에서 수행되는 경우, 인장 응력 또는 압축 응력 중 어느 하나를 갖는 텅스텐 층이 형성되고, 그리고
    상기 동작 (b) 내지 상기 동작 (f) 가 상기 제 1 프로세스 조건과 상이한 제 2 프로세스 조건 하에서 수행되는 경우, 상기 인장 응력 또는 상기 압축 응력 중 다른 것을 갖는 텅스텐 함유층이 형성되는, 증착 방법.
  14. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 텅스텐 함유층은 질소를 더 포함하는, 증착 방법.
  15. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 유기금속 텅스텐 전구체는 적어도 하나의 관능기를 포함하고,
    상기 관능기는 시클로펜타디에닐기, 터셔리-부틸기, 카르보닐기, 및 디메틸기로 이루어지는 그룹으로부터 선택되는, 증착 방법.
  16. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 텅스텐 함유층에서의 압축 응력은 적어도 0.5 기가파스칼인, 증착 방법.
  17. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 텅스텐 함유층에서의 인장 응력은 적어도 0.5 기가파스칼인, 증착 방법.
  18. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 동작 (b) 이전에, 상기 기판을 플라즈마 처리에 노출시켜 상기 기판 상의 금속 표면들 상의 산화물들을 제거하는, 증착 방법.
  19. 제 1 항에 있어서,
    상기 동작 (a) 이전에,
    상기 기판에 포토레지스트를 도포하는 단계;
    상기 포토레지스트를 광에 노광시키는 단계;
    상기 포토레지스트를 패터닝하고 그 패턴을 상기 기판에 전사하는 단계; 및
    상기 기판으로부터 상기 포토레지스트를 선택적으로 제거하는 단계를 더 포함하는, 증착 방법.
  20. 챔버; 및
    프로세스를 실행하기 위한 프로그램 명령들을 포함하는 제어기를 포함하는 증착 장치로서,
    상기 프로세스는:
    (a) 필드 영역 및 상기 필드 영역으로부터 리세스된 피쳐를 갖는 기판을 상기 챔버에 제공하는 단계;
    (b) 상기 기판을 유기금속 텅스텐 전구체에 노출시키는 단계;
    (c) 상기 기판 상에 흡착되지 않은 상기 유기금속 텅스텐 전구체를 상기 챔버로부터 제거하는 단계;
    (d) 상기 기판 상에 텅스텐 층을 형성하도록 열 처리 또는 플라즈마 처리를 포함하는 제 1 처리로 상기 기판을 처리하는 단계;
    (e) 상기 동작 (d) 이후, 상기 챔버로부터 잔류 가스들을 제거하는 단계;
    (f) 상기 기판 상의 상기 텅스텐 층을, 열 처리 또는 플라즈마 처리를 포함하는 제 2 처리로 처리하는 단계; 및
    상기 동작 (b) 내지 상기 동작 (f) 를 반복하는 단계를 포함하며,
    상기 동작 (b) 내지 상기 동작 (f) 가 제 1 프로세스 조건 하에서 수행되는 경우, 인장 응력 또는 압축 응력 중 어느 하나를 갖는 텅스텐 층이 형성되고, 그리고
    상기 동작 (b) 내지 상기 동작 (f) 가 상기 제 1 프로세스 조건과 상이한 제 2 프로세스 조건 하에서 수행되는 경우, 상기 인장 응력 또는 상기 압축 응력 중 다른 것을 갖는 텅스텐 함유층이 형성되는, 증착 장치.
  21. 제 20 항에 기재된 증착 장치; 및
    상기 증착 장치에 의해 처리될 기판을 노광하기 위한 스텝퍼를 포함하는, 증착 시스템.
  22. 챔버의 제어를 위한 프로그램 명령들을 포함하는 비일시적 컴퓨터 머신 판독가능 매체로서,
    상기 프로그램 명령들은:
    (a) 필드 영역 및 상기 필드 영역으로부터 리세스된 피쳐를 갖는 기판을 상기 챔버에 제공하기 위한 코드;
    (b) 상기 기판을 유기금속 텅스텐 전구체에 노출시키기 위한 코드;
    (c) 상기 기판 상에 흡착되지 않은 상기 유기금속 텅스텐 전구체를 상기 챔버로부터 제거하기 위한 코드;
    (d) 상기 기판 상에 텅스텐 층을 형성하도록 열 처리 또는 플라즈마 처리를 포함하는 제 1 처리로 상기 기판을 처리하기 위한 코드;
    (e) 상기 동작 (d) 이후, 상기 챔버로부터 잔류 가스들을 제거하기 위한 코드;
    (f) 상기 기판 상의 상기 텅스텐 층을, 열 처리 또는 플라즈마 처리를 포함하는 제 2 처리로 처리하기 위한 코드; 및
    상기 동작 (b) 내지 상기 동작 (f) 를 반복하는 코드를 포함하며,
    상기 동작 (b) 내지 상기 동작 (f) 가 제 1 프로세스 조건 하에서 수행되는 경우, 인장 응력 또는 압축 응력 중 어느 하나를 갖는 텅스텐 층이 형성되고, 그리고
    상기 동작 (b) 내지 상기 동작 (f) 가 상기 제 1 프로세스 조건과 상이한 제 2 프로세스 조건 하에서 수행되는 경우, 상기 인장 응력 또는 상기 압축 응력 중 다른 것을 갖는 텅스텐 함유층이 형성되는, 비일시적 컴퓨터 머신 판독가능 매체.
KR1020200124056A 2012-06-29 2020-09-24 인장 텅스텐 막 및 압축 텅스텐 막의 형성 방법 KR20200116071A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261666466P 2012-06-29 2012-06-29
US61/666,466 2012-06-29

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020130075854A Division KR20140005777A (ko) 2012-06-29 2013-06-28 인장 텅스텐 막 및 압축 텅스텐 막의 형성 방법

Publications (1)

Publication Number Publication Date
KR20200116071A true KR20200116071A (ko) 2020-10-08

Family

ID=49878832

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020130075854A KR20140005777A (ko) 2012-06-29 2013-06-28 인장 텅스텐 막 및 압축 텅스텐 막의 형성 방법
KR1020200124056A KR20200116071A (ko) 2012-06-29 2020-09-24 인장 텅스텐 막 및 압축 텅스텐 막의 형성 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020130075854A KR20140005777A (ko) 2012-06-29 2013-06-28 인장 텅스텐 막 및 압축 텅스텐 막의 형성 방법

Country Status (3)

Country Link
US (1) US9034760B2 (ko)
KR (2) KR20140005777A (ko)
TW (1) TWI605146B (ko)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
WO2013148880A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9911848B2 (en) * 2014-08-29 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor and method of manufacturing the same
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
TWI720106B (zh) 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd含鎢硬遮罩膜及製造方法
US9929271B2 (en) * 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR20180038823A (ko) 2016-10-07 2018-04-17 삼성전자주식회사 유기 금속 전구체, 이를 이용한 막 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10276677B2 (en) * 2016-11-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
WO2018144198A1 (en) 2017-02-01 2018-08-09 Applied Materials, Inc. Boron doped tungsten carbide for hardmask applications
US10355012B2 (en) 2017-06-26 2019-07-16 Sandisk Technologies Llc Multi-tier three-dimensional memory device with stress compensation structures and method of making thereof
JP2020530881A (ja) 2017-08-14 2020-10-29 ラム リサーチ コーポレーションLam Research Corporation 3次元垂直nandワード線用の金属充填プロセス
US10763116B2 (en) * 2017-10-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure
JP2021523292A (ja) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
JP2020047706A (ja) * 2018-09-18 2020-03-26 キオクシア株式会社 半導体装置およびその製造方法
JP2022506438A (ja) * 2018-11-05 2022-01-17 ラム リサーチ コーポレーション エッチングチャンバーにおける方向性堆積
JP2022513479A (ja) 2018-12-14 2022-02-08 ラム リサーチ コーポレーション 3d nand構造上の原子層堆積
KR102211654B1 (ko) * 2019-02-13 2021-02-03 주식회사 메카로 텅스텐 전구체 화합물 및 이를 이용하여 제조된 텅스텐 함유 박막
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
KR20220030455A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
KR20220030456A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
CN113053804B (zh) * 2021-03-10 2023-02-21 中国科学院微电子研究所 一种钨复合膜层及其生长方法、单片3dic
KR20230174883A (ko) * 2022-06-22 2023-12-29 한국알박(주) Pvd 스퍼터링법을 통한 텅스텐의 비저항 및 응력 제어 방법

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
DE69033760T2 (de) 1990-01-08 2001-10-25 Lsi Logic Corp Struktur zum Filtern von Prozessgasen zum Einsatz in einer Kammer für chemische Dampfabscheidung
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
KR970009867B1 (ko) 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
DE69518710T2 (de) 1994-09-27 2001-05-23 Applied Materials Inc Verfahren zum Behandeln eines Substrats in einer Vakuumbehandlungskammer
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US5956609A (en) 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6265312B1 (en) 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6429126B1 (en) 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
WO2001089017A1 (en) 2000-05-18 2001-11-22 Corning Incorporated High performance solid electrolyte fuel cells
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
US6908848B2 (en) 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
TWI253478B (en) 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
JP2006515535A (ja) 2002-12-23 2006-06-01 アプライド シン フィルムズ,インコーポレイティッド リン酸アルミニウムコーティング
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties

Also Published As

Publication number Publication date
TWI605146B (zh) 2017-11-11
KR20140005777A (ko) 2014-01-15
TW201413033A (zh) 2014-04-01
US20140011358A1 (en) 2014-01-09
US9034760B2 (en) 2015-05-19

Similar Documents

Publication Publication Date Title
KR20200116071A (ko) 인장 텅스텐 막 및 압축 텅스텐 막의 형성 방법
KR102386744B1 (ko) 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
KR102572271B1 (ko) 몰리브덴을 함유하는 저 저항률 막들
US10529722B2 (en) Tungsten for wordline applications
US11355345B2 (en) Method for preventing line bending during metal fill process
US20120199887A1 (en) Methods of controlling tungsten film properties
KR102515236B1 (ko) 저 저항 텅스텐 피처 충진을 가능하게 하는 텅스텐 핵생성 프로세스
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
US8853080B2 (en) Method for depositing tungsten film with low roughness and low resistivity
KR20200079339A (ko) 자기 제한 성장
TW202231900A (zh) 用於在基板上形成鈷層的方法
US8586479B2 (en) Methods for forming a contact metal layer in semiconductor devices
US20210335617A1 (en) Atomic layer deposition on 3d nand structures
US20220349048A1 (en) Reducing line bending during metal fill process
KR20230104542A (ko) 텅스텐 저 저항 펄싱된 cvd
CN117957636A (zh) 半导体处理期间的处理气体渐变

Legal Events

Date Code Title Description
A107 Divisional application of patent
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X601 Decision of rejection after re-examination