KR20180046860A - 플라스마 처리 장치 - Google Patents

플라스마 처리 장치 Download PDF

Info

Publication number
KR20180046860A
KR20180046860A KR1020170112451A KR20170112451A KR20180046860A KR 20180046860 A KR20180046860 A KR 20180046860A KR 1020170112451 A KR1020170112451 A KR 1020170112451A KR 20170112451 A KR20170112451 A KR 20170112451A KR 20180046860 A KR20180046860 A KR 20180046860A
Authority
KR
South Korea
Prior art keywords
wafer
light
lamp
temperature
plasma
Prior art date
Application number
KR1020170112451A
Other languages
English (en)
Other versions
KR102040567B1 (ko
Inventor
히로유키 고바야시
노부야 미요시
가즈노리 시노다
다테히토 우스이
나오유키 고후지
유타카 고우즈마
도모유키 와타나베
게네츠 요코가와
사토시 사카이
마사루 이자와
Original Assignee
가부시키가이샤 히다치 하이테크놀로지즈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 하이테크놀로지즈 filed Critical 가부시키가이샤 히다치 하이테크놀로지즈
Publication of KR20180046860A publication Critical patent/KR20180046860A/ko
Application granted granted Critical
Publication of KR102040567B1 publication Critical patent/KR102040567B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24571Measurements of non-electric or non-magnetic variables
    • H01J2237/24585Other variables, e.g. energy, mass, velocity, time, temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은 처리의 수율을 향상할 수 있는 플라스마 처리 장치를 제공하는 것을 과제로 한다.
이러한 과제를 해결하기 위한 수단으로서, 처리실 내측에 배치되고 웨이퍼가 놓이는 스테이지와, 상기 처리실 상방에 배치되고 그 내부에서 처리용의 가스를 사용해서 플라스마가 형성되는 플라스마 형성실과, 상기 시료대의 상방이며 상기 처리실과 상기 플라스마 형성실 사이에 배치되고 복수의 도입 구멍을 구비한 유전체제의 판 부재와, 이 판 부재의 외주측에서 상기 시료를 가열하기 위한 램프를 구비하고, 웨이퍼 온도 측정을 위한 외부 IR광원과 상기 스테이지 내에 배치되고 외부 IR광원으로부터의 IR광을 상기 웨이퍼의 이면에 조사하는 조사 파이버 및 상기 웨이퍼로부터의 IR광을 수광하는 수광 파이버와, 상기 수광 파이버에서 상기 웨이퍼 가열 중에 상기 외부 IR광원으로부터의 IR광을 검출한 데이터로부터 상기 램프로부터의 IR광만을 검출한 결과를 차감해서 상기 웨이퍼의 온도를 검출한다.

Description

플라스마 처리 장치{PLASMA PROCESSING APPARATUS}
본 발명은, 플라스마 조사와 IR광 가열에 의해 에칭을 행하는 플라스마 처리 장치에 있어서의 웨이퍼 온도 모니터링에 관한 것이다.
반도체 디바이스에서는, 저(低)소비 전력화나 기억 용량 증대의 요구 때문에, 가일층 미세화, 및, 디바이스 구조의 3차원화가 진행되고 있다. 3차원 구조의 디바이스의 제조에서는, 구조가 입체적이고 복잡하기 때문에, 종래의 웨이퍼면에 대해 수직 방향으로 에칭을 행하는 「수직성 에칭」에 더해, 횡 방향으로도 에칭이 가능한 「등방성 에칭」이 다용되게 된다.
종래, 등방성의 에칭은 약액을 사용한 웨트 처리에 의해 행해 왔지만, 미세화의 진전에 따라, 약액의 표면장력에 의한 패턴 무너짐의 문제가 현재화하고 있다. 그 때문에, 등방성 에칭에서는, 종래의 약액을 사용한 웨트 처리로부터 약액을 사용하지 않는 드라이 처리로 치환할 필요가 생기고 있다.
등방성 에칭을 드라이 처리에서 고정밀도로 행하는 기술로서는, 흡착·탈리(脫離) 방식의 에칭 처리가 종래로부터 알려져 있다. 이 기술은, 우선 플라스마를 사용해서 생성된 라디칼 등의 반응성이 상대적으로 높은 입자를 반도체 웨이퍼 등의 기판상(基板狀)의 시료의 에칭 대상으로 되는 막층의 표면에 흡착시켜, 양자(兩者)의 화학 반응에 의해 반응층을 당해 표면에 형성시키는 공정(흡착 공정)을 실시한다.
다음으로, 시료 혹은 반응층에 열이나 운동 에너지를 부여해 이 반응층을 탈리시켜서 시료 표면으로부터 제거하는 공정(탈리 공정)을 실시한다. 이와 같은 흡착 공정과 탈리 공정을 번갈아 미리 정해진 주기로 반복해서 처리 대상의 막층의 에칭 처리를 행하는 것이다.
이 종래기술에서는, 흡착 공정에 있어서, 표면에 형성된 반응층이 일정한 두께에 도달하면, 반응층이 피에칭층과 반응층의 계면에 라디칼이 도달하는 것을 저해하게 되기 때문에, 반응층의 성장이 급속히 감속한다. 그 때문에, 복잡한 패턴 형상의 내부에 있어서, 라디칼의 입사량에 편차가 있어도, 적당히 충분한 흡착 시간을 설정함에 의해 균일한 두께의 변질층을 형성할 수 있으며, 에칭량을 패턴 형상에 의존하지 않고 균일하게 할 수 있는 메리트가 있다.
또한, 1사이클당 에칭량을 수㎚ 레벨 이하로 제어할 수 있기 때문에, 수㎚의 치수 정밀도로 가공량을 조정할 수 있는 메리트가 있다. 또한, 피에칭층의 표면에 반응층을 형성하는데 필요한 라디칼종과, 선택비를 취하고 싶은(깎아내고 싶지 않은) 막을 에칭해 버리는 라디칼종이 서로 다름을 이용해서 고(高)선택의 에칭을 가능하게 할 수 있는 메리트도 있다.
이와 같은 종래의 기술의 예로서는, 일본국 특개2015-185594호 공보(특허문헌 1)에 개시된 것이 알려져 있다. 본 종래기술은, 진공 용기와 이 상부에 배치된 플라스마 형성용의 공간을 포함하는 용기인 라디칼원과, 이들 간에 내에 배치되고 진공 자외광을 발생해서 조사하는 램프를 구비한 플라스마 처리 장치를 개시하고 있다.
본 종래기술은, 진공 용기 내부의 처리실 내에 배치된 스테이지 상에 놓인 웨이퍼에 대해, 라디칼원의 용기 내의 공간에 공급된 처리용 가스를 활성화해서 형성한 입자가, 처리실과의 사이를 연통하는 가스 도입관을 통하여 처리실 내의 웨이퍼 상면에 공급되고 당해 표면에 입자를 흡착시켜서 이것에 의한 생성물층이 형성된다. 또한, 이 공정 후, 램프로부터의 진공 자외광이 처리실 내에 조사되고 웨이퍼 상면의 생성물이 분해되어 상면으로부터 탈리되어서 상기 층이 제거되는 공정이 실시되고, 이들을 번갈아 반복해서 행함으로써, 웨이퍼 표면의 처리 대상의 막층을 처리하는 에칭의 기술의 예이다.
또한, 처리실 내에 있어서 웨이퍼 상면에 반응성이 높은 입자를 공급해서 형성한 생성물의 층을 제거하는 수단으로서, 상기 종래기술과 같이 램프로부터의 진공 자외광을 웨이퍼에 조사하는 것뿐만 아니라, 웨이퍼를 가열하여 상기 생성물을 탈리시키는 구성, 예를 들면, 적외선(Infrared Red, 이하 IR) 램프로부터의 적외선(IR)을 사용하는 것도 종래로부터 알려져 있다.
일본국 특개2015-185594호 공보
상기 종래기술이 개시하는 흡착(생성물층의 형성)의 공정과 탈리시키는 공정을 번갈아 행함에 있어서, 특히, 흡착의 공정과 가열해서 생성물층을 제거하는 공정을 반복해서 행함에 있어서는, 처리 중의 조건을 안정시켜서 수율이나 처리의 결과로서의 웨이퍼 표면의 형상의 정밀도를 향상시키는데, 웨이퍼의 온도를 검출하고 그 결과에 의거하여 가열의 정도 혹은 웨이퍼의 온도를 원하는 범위 내의 값으로 조절하는 것이 필요해진다.
예를 들면, 상기와 같은 처리 중의 조건으로서의 온도의 범위는, 예를 들면, -40 내지 300℃를 생각할 수 있다. 이와 같은 온도의 범위에 있어서, 상기 생성물을 웨이퍼 표면으로부터 탈리시키는 공정, 특히 탈리시키기 위해 IR 램프로부터의 IR광을 조사하여 웨이퍼의 가열을 실시하는 중에, 웨이퍼의 온도를 높은 정밀도로 검출 가능하게 하거나, 혹은 검출한 웨이퍼의 온도의 정보를 피드백하여 당해 정보에 의거하여 원하는 범위 내로 되도록 온도를 조절해서 실현할 수 있는 정도로 짧은 시간에서 웨이퍼의 온도를 검출 가능하게 하는데, 상기 종래기술은 이하의 점에 대해 고려가 불충분했기 때문에, 문제가 생기고 있었다.
즉, 웨이퍼의 온도를 IR광을 사용해서 검지할 경우에, 웨이퍼를 가열하기 위한 IR 램프로부터의 IR광과 웨이퍼 자체로부터의 IR광이 혼재해 버리면, 온도를 검출하는 정밀도가 손상되어 버린다는 문제가 생긴다. 이 때문에, 웨이퍼의 온도를 탈리 공정을 포함하는 웨이퍼 표면의 처리 대상의 막층의 처리에 적합한 범위 내로 조절하는 것이 곤란해지고, 처리의 결과로서의 처리 후의 형상이 허용되는 범위에서 벗어나 버려 처리의 수율이 손상되어 버리거나, 처리에 적합한 범위 내의 온도로 하기 위해 보다 긴 시간을 요해 처리의 스루풋이 손상되어 버린다는 문제가 생기고 있었다.
본 발명의 목적은, 처리의 효율 혹은 정밀도를 향상시켜, 처리의 수율을 향상할 수 있는 플라스마 처리 장치를 제공하는 것에 있다.
상기 목적은, 플라스마원과 웨이퍼 가열용의 IR 램프를 갖는 플라스마 처리 장치에 있어서, 웨이퍼 온도 모니터용의 IR광을 웨이퍼의 이면 측으로부터 웨이퍼에 조사하고, 그 반사광, 또는, 산란광을 수집해서 분광 계측하는 수단을 설치하고, 웨이퍼 온도 모니터용 IR광의 웨이퍼에의 조사를 단속적으로 ON/OFF하는 광로 차단기를 설치했다. 또한, 웨이퍼 가열용의 IR광을 사용해도 웨이퍼 온도를 계측할 수 있도록, 웨이퍼 스테이지에 웨이퍼 가열용 IR 램프의 설치 방향을 향해 집광용의 파이버를 설치할 수 있게 했다.
본 발명에 따르면, 가열·냉각 사이클에 있어서, 웨이퍼의 온도를 올바르게 모니터할 수 있게 되기 때문에, 측정한 온도에 의거하여 프로세스의 재현성을 높이는 것이 가능해진다.
도 1은 본 발명의 실시예에 따른 플라스마 처리 장치의 전체의 개략을 나타내는 도면.
도 2는 본 발명의 실시예에 있어서의 광 파이버 설치 구멍의 개략을 나타내는 도면.
도 3은 본 발명의 실시예에 있어서, 광 파이버 설치 구멍을 웨이퍼에 대해 경사시켜 설치했을 경우의 장치 전체의 개략을 나타내는 도면.
도 4는 본 발명의 실시예에 있어서, 광 파이버 설치 구멍을 웨이퍼에 대해 경사시켜 설치했을 경우의 광 파이버 설치 구멍의 개략을 나타내는 도면.
도 5는 본 발명의 실시예에 있어서, 광 파이버 설치 구멍을 웨이퍼에 대해 경사시켜 설치했을 경우의 광 파이버 설치 구멍의 다른 개략을 나타내는 도면.
도 6은 본 발명의 실시예에 있어서의 적외 흡수단 파장에 대해 설명하는 도면.
도 7은 본 발명의 실시예에 있어서의 에칭 처리에 대해, IR광의 웨이퍼 부근에서의 광로에 대해 설명하는 도면.
도 8은 본 발명의 실시예에 있어서의 에칭 처리에 대해, IR광의 웨이퍼 부근에서의 광로에 대해 설명하는 도면.
도 9는 본 발명의 실시예에 있어서의 에칭 처리에 대해, 광 파이버를 웨이퍼면에 대해 수직으로 설치했을 때에, 웨이퍼에서 반사한 IR광을 측정하는 모습을 나타내는 도면.
도 10은 본 발명의 실시예에 있어서의 에칭 처리에 대해, 광 파이버를 웨이퍼면에 대해 수직으로 설치했을 때에, 웨이퍼를 투과한 IR광을 측정하는 모습을 나타내는 도면.
도 11은 본 발명의 실시예에 있어서의 에칭 처리에 대해, 광 파이버를 웨이퍼면에 대해 경사시켜 설치 했을 때에, IR광을 측정하는 모습을 나타내는 도면.
도 12는 본 발명의 실시예에 있어서의 에칭 처리에 대해, 에칭 장치의 수순을 나타내는 도면.
도 13은 본 발명의 실시예에 있어서의 에칭 처리에 대해, 에칭 처리 중의 웨이퍼 표면의 상태를 나타내는 도면.
도 14는 본 발명의 실시예에 있어서의 에칭 처리에 대해, 외부 IR광을 사용해서 웨이퍼 온도를 측정하는 수순을 설명하는 도면.
도 15는 본 발명의 실시예에 있어서의 에칭 처리에 대해, 가열용 IR 램프의 광을 사용해서 웨이퍼 온도를 측정하는 수순을 설명하는 도면.
도 16은 본 발명의 실시예에 있어서의 에칭 처리에 대해, 가열용 IR 램프의 광을 사용해서 웨이퍼 온도를 측정할 경우의 프로세스 플로우를 설명하는 도면.
도 17은 본 발명의 실시예에 있어서의 에칭 처리에 대해, 가열용 IR 램프의 광으로부터 방사되는 IR광의 파장 프로파일에 대해 설명하는 도면.
본 발명의 실시예를 도면을 사용해서 설명한다.
[실시예 1]
이하, 본 발명의 실시예를 도 1 내지 도 17을 사용해서 설명한다.
도 1은, 본 발명의 실시예에 따른 플라스마 처리 장치의 구성의 개략을 모식적으로 나타내는 종단면도이다. 본 실시예의 플라스마 처리 장치는, 진공 용기와 그 하부에 배치된 처리실 내의 스테이지 상의 웨이퍼에 적외선(IR)을 조사해서 가열하는 램프를 포함하는 것이며, 진공 용기의 상부를 구성하고 내부에서 플라스마가 형성되는 방전실 및 당해 방전실과 하방의 처리실을 연통하는 통로, 및 그 통로 내측에 배치되고 플라스마의 형성에 의해 생긴 반응성이 높은 라디칼 등의 활성종의 입자가 통과하는 복수의 극간 또는 구멍을 갖는 슬릿을 구비한 처리 장치이다.
본 예의 플라스마 처리 장치에 있어서, 처리실(1)은 진공 용기 하부를 구성하는 베이스 챔버(11) 내부에 배치된 실(室)이며, 내측의 하부에는 웨이퍼(2)를 그 상면에 재치(載置)하기 위한 웨이퍼 스테이지(4)가 배치되어 있다. 처리실(1)의 상방에는 플라스마원이 설치되어 있고, 플라스마원에는 ICP 방전 방식을 사용하고 있다.
ICP 플라스마원을 구성하는 원통형의 석영 챔버(12)가 처리실(1)의 상방에 설치되어 있고, 석영 챔버(12)의 외측에는 ICP 코일(34)이 설치되어 있다. ICP 코일에는 플라스마 생성을 위한 고주파 전원(20)이 정합기(22)를 통해 접속되어 있다.
고주파 전력의 주파수는 13.56㎒ 등, 수십㎒의 주파수대를 사용하는 것으로 한다. 석영 챔버(12)의 상부에는 천판(天板)(6)이 설치되어 있다. 천판(6)의 하부에는 가스 분산판(17)과 샤워 플레이트(5)가 설치되어 있고, 처리 가스는 가스 분산판(17)과 샤워 플레이트(5)를 통해 처리실(1) 내에 도입된다.
처리 가스는 가스종마다 설치된 매스플로우 컨트롤러(50)에 의해 공급 유량이 조정된다. 또한, 매스플로우 컨트롤러의 하류측에는 가스 분배기(51)가 설치되어 있고, 방전 영역(3)의 중심 부근에 공급하는 가스와 외주 부근에 공급하는 가스의 유량이나 조성을 각각 독립적으로 제어해서 공급할 수 있도록 하여, 라디칼의 공간 분포를 상세히 제어할 수 있도록 하고 있다.
또한, 도 1에서는 NH3, H2, CH2F2, CH3F, CH3OH, O2, NF3, Ar, N2, CHF3, CF4, H2O를 처리 가스로서 도면에 기재하고 있지만, 다른 가스를 사용해도 된다.
처리실(1)의 하부에는 처리실을 감압하기 위해, 진공 배기 배관(16)에 의해, 배기 수단(15)에 접속되어 있다. 배기 수단에는 예를 들면, 터보 분자 펌프나 메커니컬 부스터 펌프나 드라이 펌프로 구성되는 것으로 한다.
또한, 처리실(1)이나 방전 영역(3)의 압력을 조정하기 위해, 조압(調壓) 수단(14)이 배기 수단(15)의 상류측에 설치되어 있다.
스테이지(4)와 ICP 플라스마원 사이에는 웨이퍼를 가열하기 위한 IR 램프 유닛이 설치되어 있다. IR 램프 유닛은 주로 IR 램프(62), IR광을 반사하는 반사판(63), IR광 투과창(74)으로 이루어진다.
IR 램프(62)에는 써클형(원 형상)의 램프를 사용한다. 또한, IR 램프(62)로부터 방사되는 광은 가시광으로부터 적외광 영역의 광을 주(主)로 하는 광(여기에서는 IR광이라 함)을 방출하는 것으로 한다.
본 실시예에서는 3주(周)분의 램프가 설치되어 있는 것으로 했지만, 2주, 4주 등으로 해도 된다. IR 램프(62)의 상방에는 IR광을 하방(웨이퍼 설치 방향)을 향해 반사하기 위한 반사판(63)이 설치되어 있다.
IR 램프(62)에는 IR 램프용 전원(64)이 접속되어 있고, 그 도중에는 플라스마 생성용의 고주파 전력의 노이즈가 IR 램프용 전원에 유입하지 않도록 하기 위한 고주파 컷 필터(25)가 설치되어 있다. 또한, IR 램프(62-1, 62-2, 62-3)에 공급하는 전력을 서로 독립적으로 제어할 수 있도록 하는 기능이 IR 램프용의 전원(64)에는 설치되어 있고, 웨이퍼의 가열량의 직경 방향 분포를 조절할 수 있도록 되어 있다(배선은 일부 도시를 생략했음).
IR 램프 유닛의 중앙에는 유로(75)가 형성되어 있다. 그리고, 이 유로(75)에는 플라스마 중에서 생성된 이온이나 전자를 차폐하고, 중성의 가스나 중성의 라디칼만을 투과시켜 웨이퍼에 조사하기 위한 복수의 구멍이 뚫린 슬릿 판(78)이 설치되어 있다.
스테이지(4)에는 스테이지를 냉각하기 위한 냉매의 유로(39)가 내부에 형성되어 있고, 칠러(38)에 의해 냉매가 순환 공급되도록 되어 있다. 또한, 웨이퍼(2)를 정전 흡착에 의해 고정하기 위해, 판상(板狀)의 전극판(30)이 스테이지에 메워넣어지고, 각각에 DC 전원(31)이 접속되어 있다.
또한, 웨이퍼(2)를 효율적으로 냉각하기 위해, 웨이퍼(2)의 이면과 스테이지(4) 사이에 He 가스를 공급할 수 있도록 되어 있다. 또한, 웨이퍼를 흡착한 채, 가열·냉각을 행해도, 웨이퍼의 이면에 흠집이 나지 않도록 하기 위해, 웨이퍼 스테이지의 표면(웨이퍼 재치면)은 폴리이미드 등의 수지로 코팅되어 있는 것으로 한다.
또한, 스테이지(4)의 내부에는 스테이지의 온도를 측정하기 위한 열전대(70)가 설치되어 있고, 이 열전대는 열전대 온도계(71)에 접속되어 있다.
또한, 웨이퍼의 온도를 측정하기 위한 광 파이버인 파이버(92)의 부착을 위한 구멍(91)이 뚫려 있다. 도 1에서는 웨이퍼 중심부 부근, 웨이퍼 직경 방향 미들부 부근, 웨이퍼 외주 부근의 3개소를 측정하기 위해 스테이지의 3개소에 파이버 설치용의 구멍(91)이 마련되어 있다.
파이버 설치용의 구멍(91)에는 외부 IR광원으로부터의 IR광을 웨이퍼 이면에 조사하기 위한 광 파이버(92-1)와, 웨이퍼를 투과·반사한 IR광을 모아 분광기에 전송하기 위한 광 파이버(92-2)가 각각 설치되어 있다.
외부 IR광은 외부 IR광원(93)에서 생성되고, 광로를 ON/OFF시키기 위한 광로 스위치(94)에 전송된다. 그 후, 광분배기(95)에서 복수로 분기하고, 파이버(92-1)를 통해 웨이퍼 이면 측에 조사된다.
웨이퍼에서 흡수·반사된 IR광은 파이버(92-2)에 의해 분광기(96)에 전송되고, 검출기(97)에서 스펙트럼 강도의 파장 의존성의 데이터를 얻는다. 또한, 파이버(92-2)의 도중에는 광 멀티플렉서(98)가 설치되어 있어, 분광 계측하는 광에 대해, 웨이퍼 중심, 웨이퍼 미들, 웨이퍼 외주의 어느 계측점에 있어서의 광을 분광 계측할지를 전환하도록 되어 있다.
다음으로 웨이퍼 스테이지에 있어서의 광 파이버 설치 구멍(91)의 구성에 대해 도 2를 사용해서 설명한다. 도 2에서는 정전 흡착을 위한 전극판(30)이나 냉매의 유로(39)는 도시를 생략했다.
파이버 부착을 위한 스테이지(4)에 설치된 파이버 설치 구멍(91)은 웨이퍼(2)의 표면에 대해 수직으로 하고 있다. 이 파이버 설치 구멍(91)에는 한쪽이 종단되어 있는 석영제의 튜브(80)가 삽입되어 있다.
이 튜브(80)와 스테이지(4) 사이에는 O-링(81)이 설치되어 있어, 스테이지(4)의 하방의 대기가 스테이지(4)의 상방의 처리실 내에 유입하지 않도록 기밀로 봉지(封止)되는 구성으로 되어 있다. 파이버(92-1, 92-2)는 파이버 헤드(84)에 고정되어 있고, 이 파이버 헤드(84)를 석영 튜브 내에 삽입하고, 누름부(83) 등으로 고정하도록 하고 있다.
파이버 설치 구멍은 웨이퍼면에 대해 경사지게 설치하는 구성으로 해도 된다. 이 경우의 전체 구성을, 도 3에 나타낸다. 본 도면에서는, 도 1과 동등한 구성은 일부 도시를 생략했다.
또한, 파이버 설치 구멍 부분의 구성예를 도 4에 나타낸다. 도 2와 마찬가지로, 파이버 설치 구멍(91)에 석영 튜브(80)를 삽입하고 O-링(81)으로, 대기가 처리실 내에 유입하지 않도록 되어 있다.
그리고, 석영 튜브(80) 내에 파이버 헤드(84)를 삽입한다.
도 5에, 광 파이버를 웨이퍼면에 대해 경사지게 설치하는 다른 파이버 설치 구멍 부분의 구성이 나타나 있다. 본 구성에서는 석영 로드(85)가 파이버 설치 구멍(91)에 삽입되어 있고, O-링에 의해 대기가 처리실 내에 유입하지 않도록 구성되어 있다.
본 예에서는, 파이버(92-1, 92-2)는, 석영 로드(85)의 한쪽의 면(도면 중의 X)에 근접시켜서 설치되어 있다. 석영 로드(85)의 면(X)에는 반사 방지막이 설치되어 있는 것으로 한다.
다음으로, 온도 측정의 원리에 대해 도 6 내지 도 8을 사용해서 설명한다.
도 7에 나타내는 바와 같이 적외(IR)광을 웨이퍼를 통과시키거나, 혹은 도 8에 나타내는 바와 같이 웨이퍼의 표면으로부터 내부로 진입시키고 단부면에서 반사시켜서 다시 웨이퍼 내부를 통해 표면으로부터 사출시킬 때는, 당해 웨이퍼의 밴드갭에 따라, 긴 파장의 광이 웨이퍼를 구성하는 부재에 흡수된다. 이와 같은 IR광의 강도의 파장의 변화에 대한 변화는, 도 6에 나타내는 바와 같은 것으로 된다.
본 도면에 나타내는 바와 같이, 웨이퍼에 조사되기 전의 IR광의 강도를 나타내는 선X에 대해, 웨이퍼 내부를 통과한 후의 광의 강도를 나타내는 선Y(Y0, Y1, Y2)는, 값이 작아짐과 함께, 각각 특정의 파장의 전후에 있어서 특징적인 변화를 갖는 프로파일이 된다. 즉, 도 6 중에 α로 나타나는 바와 같이, 그 전후에 있어서 급격하게 IR광의 강도가 증감하는 특정의 파장을 포함하는 값의 범위가 생긴다.
그리고, 이와 같은 파장의 범위는 웨이퍼의 온도가 변화하면, 그에 따라 밴드갭도 변화하여, 흡수·투과하는 IR광의 파장이 변화하기 때문에, α의 파장도 변화한다. 예를 들면, 도 6 중의 Y0을 기준으로 해서, 웨이퍼의 온도가 높아지면 Y1과 같이 저파장측으로, 웨이퍼의 온도가 낮아지면 Y2와 같이 장파장측으로 시프트한다.
발명자들은, 이와 같은 현상을 이용해서, 당해 α로 나타나는 바와 같은 영역의 파장의 값을 검출하고, 그 결과를 이용하여 웨이퍼의 온도를 검출할 수 있다는 지견을 얻었다. 예를 들면, α의 영역에 있어서의 파장의 변화에 대한 IR광의 강도의 변화의 기울기를 특정의 직선으로 피팅하고, 그 외삽값을 적외 흡수단 파장으로서 정의하여, 당해 적외 흡수단 파장의 값과 웨이퍼의 온도의 값과의 상관을 미리 얻어놓고, 웨이퍼로부터 방사된 IR광을 사용해서 검출한 적외 흡수단 파장의 값을 사용해서 웨이퍼의 온도를 구하는 것을 상기(想起)한 것이다.
또한, 검출하는 IR광으로서는, 도 7에 나타낸 것 외에, 도 8에 점선으로 나타낸 화살표의 광으로서 나타낸 바와 같은 웨이퍼의 표면이나 이면에서 난반사하는 광을 사용하는 것이어도 가능하다. 단, 이 경우는 도 7의 것에 비해, 계측되는 광의 강도가 상대적으로 약하기 때문에 S/N비를 높이는 연구가 필요해진다.
다음으로, 본 실시예에 있어서의, 파이버(92)를 웨이퍼에 대해 배치하는 각도를 도 9 내지 도 11을 사용해서 설명한다. 도 9는, 파이버를 웨이퍼면에 대해 수직으로 설치했을 경우에 있어서 외부 IR광을 사용해서 웨이퍼의 온도를 계측할 경우의 IR광의 경로를 모식적으로 나타내는 도면이다.
본 실시예에 있어서는, 웨이퍼(2)의 하방에 배치된 파이버(92-2)에서 검출 대상으로 되는 IR광은, 파이버(92-1)로부터 상방을 향해 방사되고 도면 상 웨이퍼(2)의 하방의 선으로 나타나는 이면으로부터 내부로 진입해서 상방의 선으로 나타나는 웨이퍼 상면에서 반사된 후 당해 내부를 전파하여 웨이퍼(2)의 이면에 도달할 때까지 소정의 파장의 광이 흡수되고 나서 당해 이면으로부터 하방을 향해 방출된 광, 즉 도 9 중의 광로(a)를 지나는 광인 것이 필요해진다. 한편, 웨이퍼(2)의 이면에서 외측(도면 상 하측)으로 반사하여 파이버(92-2)에 도달하는 경로(b)를 지나는 IR광은, 웨이퍼(2)의 흡수의 영향을 받고 있지 않은 것이기 때문에, 웨이퍼(2)의 온도를 검출하기 위해 사용할 수 없는, 환언하면 미광(迷光)이다.
이것으로부터, 웨이퍼(2)의 온도를 나타내는 적외 흡수단 파장을 정밀하게 검출하기 위해는, 파이버(92-2)에 달하는 IR광으로부터 도 9 중의 광로(b)를 거친 IR광의 성분의 제거 혹은 저감하는 것이 필요해진다. 그러나, 이 달성은, 광로(b)의 경로를 차단하기 위한 구조물의 설치나, 파이버와 웨이퍼의 거리의 고정밀도의 조정이 요구되는 등 난이도가 높다.
도 10은, 가열용의 IR 램프의 광을 사용해서 측정할 경우에 대해 나타내고 있다. 본 도면에 나타내는 바와 같이, 웨이퍼(2)에 상방의 처리실(1) 내로부터 조사되고 투과한 IR 램프(62)로부터의 IR광의 대부분은, 도 10의 광로(a)에 나타내는 바와 같이, 웨이퍼(2)의 하방으로 웨이퍼(2)에 입사한 방향에 평행하게 방사되고(본 도면에서는, 간단하게 하기 위해 웨이퍼 등의 굴절률은 무시했음) 일부분은 웨이퍼(2)의 표면 및 이면에서 난반사해서, 입사한 방향과는 다른 복수의 방향의 광로(b)에 방사된다.
웨이퍼(2)의 상면에서 난반사되고 웨이퍼(2) 내부를 통해 웨이퍼(2)의 이면으로부터 방출된 IR광을 사용해서 웨이퍼(2)의 온도를 검출할 수 있다. 한편, 이 경우에는 난반사한 IR광의 강도가 약하기 때문에 IR 램프(62)로부터 충분한 양의 광이 방사될 필요가 있다.
다음으로, 도 11에 나타내는 바와 같이, 웨이퍼(2)의 상면 또는 하면에 대해 파이버(92)의 단부면 또는 축 방향을 기울어지게 설치할 경우에 대해 기술한다. 웨이퍼(2) 이면에 대해 그 축이 기울어진 파이버(92-1)의 단부면으로부터는, 외부 IR광원(93)으로부터 광로 스위치(94) 및 광분배기(95)를 통과한 IR광이 웨이퍼(2)의 이면을 향해, 상기 축을 따라 방사된다.
본 도면에 나타내는 예에서는, 방사된 IR광의 대부분은, 웨이퍼(2)의 표면 및 이면에서 반사되고 소정의 반사각 상의 광로(a)를 따라 웨이퍼(2)로부터 방사되지만, 일부의 광은 경로(b)에 나타내는 바와 같이, 웨이퍼(2) 표면에서 난반사된다. 본 도면의 광로(b)의 난반사된 IR광을 사용해서 웨이퍼(2)의 온도를 검출할 수 있다.
이 예에서도 난반사된 IR광의 강도는, 외부 IR광원(93)으로부터 혹은 IR 램프(62)의 IR광보다 상대적으로 강도가 작기 때문에 광원에 충분한 광의 강도가 요구되는 한편, 웨이퍼(2)의 이면에서 반사되는 도 11 중의 광로(a)의 IR광이 노이즈로서 계측되는 것을 억제할 수 있는 메리트가 있다.
또한, 도 11에서는 파이버(92)가 그 축을 가열용의 IR 램프(62)로부터 방사되는 IR광의 방향을 따라 대향해 설치되어 있다. 이 구성에 의해 효율적으로 웨이퍼(2)를 투과한 IR광을 검출할 수 있고, 에칭 프로세스 중에 있어서 웨이퍼(2)를 가열하기 위함이 아닌 기간 중에 저전력으로 IR 램프(62)를 점등시켜 방사시키고 웨이퍼(2)를 투과한 IR광을 사용해서 웨이퍼(2)의 온도를 검출할 수 있다.
또한, 도 11에서는 파이버(92)의 단부면 및 축을 IR 램프(62)로부터 방사되는 IR광의 방향을 따라 대향해 있지만, IR 램프(62)가 설치된 방향과는 다른 방향으로 설치하고 있을 경우, 가열용 IR 램프로부터의 투과광에 대해서도 도 8의 (b)와 같이, 미약한 산란광을 측정하게 되어, 웨이퍼 온도의 측정의 난이도는 높아진다. 따라서, 파이버 설치 구멍은 IR 램프의 설치 방향을 향해 있는 편이 바람직하지만, 스테이지의 구조가 복잡해진다.
또한, 웨이퍼(2)의 온도를 검출함에 있어서 외부 IR광원(93)의 광을 사용하는 구성, 혹은 IR 램프(62)의 광을 사용하는 구성은, 웨이퍼(2)나 IR 램프(62)에 대해 파이버(92)를 설치하는 각도나 웨이퍼(2)의 표면의 막의 성질 등의 조건에 따라 선택하는 것이 바람직하다. 예를 들면, 도 7의 (a)에 나타낸 웨이퍼(2)의 상하를 투과한 IR광을 이용하는 구성은, 그 강도가 크므로 높은 정밀도로 검출하기 쉬운 한편, 처리 대상의 막층을 포함하는 웨이퍼(2)의 표면의 막 구조가 반도체 디바이스의 금속 배선을 형성하기 위한 막을 갖는 등의 실시적으로 웨이퍼 표면이 금속막으로 덮이고 있는 바와 같은 경우에서는, 상방의 IR 램프(62)로부터 방사되는 IR광은 당해 막이 배치된 웨이퍼(2)의 표면에서 대부분이 반사되어 버린다.
이 때문에, 웨이퍼(2)를 투과한 IR광으로부터 온도를 검출하는 것이 곤란해진다. 이와 같이, 웨이퍼(2)의 상하를 투과한 IR광을 사용하는 구성과 함께, 웨이퍼(2)의 표면에서 반사한 IR광을 사용하는 구성을 구비하고, 적어도 어느 하나를 사용해서 웨이퍼(2)의 온도를 검출하는 장치를 구성하는 것이 바람직하다.
다음으로, 본 실시예가 실시하는 웨이퍼(2)의 처리의 공정에 대해, 도 12 및 13을 사용해서 설명한다. 도 12는, 본 발명의 실시예가 실시하는 처리의 동작의 흐름을 모식적으로 나타내는 타임 차트이다. 도 13은, 본 발명의 실시예에 따른 플라스마 처리 장치가 처리하는 웨이퍼 표면의 막 구조의 당해 처리의 진행에 수반하는 구성의 변화를 모식적으로 나타내는 종단면도이다.
이들 도면에 나타내는 처리에 있어서, 우선 처리실(1)에 설치된 반송구(도 1에서는 생략)를 통해 웨이퍼(2)를 처리실(1)에 반입한 후, DC 전원(31)에 의해 웨이퍼(2)를 스테이지(4)에 정전 흡착해서 고정함과 함께, 웨이퍼(2)의 이면에 웨이퍼(2)의 냉각용의 He 가스를 공급한다.
그리고, 복수의 매스플로우 컨트롤러(50), 및, 가스 분배기(51)에 의해 처리실(1) 내에 공급하는 처리 가스의 유량이나 처리실(1) 내의 가스 조성 분포를 조정하고, 방전 전원(20)에 의해 플라스마 방전을 개시한다. 그리고, 처리 가스는 플라스마(10)에서 이온화, 해리하고, 중성의 가스와 라디칼은 슬릿 판(78)을 통과하여 웨이퍼(2)에 조사된다.
이에 의해 라디칼을 웨이퍼의 표면에 흡착시켜서 피에칭층(95)의 표면에 반응층(96)을 생성한다(흡착 공정, 도 12의 (a), 도 13의 (a)). 본 실시예에서는, 피에칭층은 Si, SiO2, SiN, SiGe, W, TiN, TiO, Al2O3 등으로 구성되는 막층이다.
반응층의 생성이 완료하면 방전 전원(20)을 OFF로 해서 플라스마 방전을 중지한다. 그리고, 웨이퍼 이면의 He 가스의 공급을 정지함과 함께, 밸브(52)를 열어 웨이퍼의 이면의 압력을 처리실 내의 압력과 동일 정도로 한다(웨이퍼 이면의 He 가스를 빼냄).
다음으로, IR 램프용의 전원(64)의 출력을 ON으로 해서 IR 램프(62)를 점등시킨다. IR 램프(62)로부터 방사된 IR광은 IR광 투과창(74)을 투과하여 웨이퍼(2)를 가열한다.
웨이퍼의 온도가 일정 값에 도달하면 전원(64)의 출력을 저감하고, 웨이퍼(2)의 온도를 일정하게 유지하면서 변질층을 탈리시킨다(탈리 공정)(도 12의 (b), 도 13의 (b)).
그 후, IR 램프용 전원(64)의 출력을 OFF로 해서, 웨이퍼(2)의 가열을 정지한다. 다음으로 처리실 내에 Ar 가스를 공급하면서 웨이퍼 이면에 He 가스를 공급하고 웨이퍼의 냉각을 개시한다(도 12의 (c), 도 13의 (c)).
냉각이 종료하면 다시(다음 사이클로서) 라디칼 조사를 개시한다. 그리고, 라디칼 흡착과 탈리의 사이클을 반복해서 스텝-바이-스텝으로 에칭을 행한다.
또한, 정전 흡착은 상기 공정이 반복되어 실시되는 웨이퍼(2)의 에칭 처리 동안은 흡착이 계속된다. 에칭 처리가 종료하면 정전 흡착을 해제(제전(除電))하여 처리실(1)로부터 웨이퍼(2)를 반출한다.
다음으로, 본 실시예에 있어서 외부 IR광원을 사용했을 경우의 웨이퍼의 온도를 검출하는 공정에 대해 도 14를 사용해서 설명한다. 도 14는, 본 실시예에 있어서의 에칭 처리에 대해, 외부 IR광을 사용해서 웨이퍼 온도를 검출하는 동작의 흐름을 나타내는 타임 차트이다.
본 도면에 있어서, 본 실시예의 플라스마 처리 장치는, 우선, 광 멀티플렉서(98)의 채널을 웨이퍼(2)의 중심부에서의 모은 광을 분광 계측하도록 전환하다. 그리고, 광로 스위치(94)를, ON 및 OFF로 하고, 각각에 있어서, IR광 강도의 파장 프로파일을 계측한다.
본 예에서는, 광로 스위치(94)의 설정이 ON일 때는 외부 IR광원(93)으로부터의 IR광과 가열용의 IR 램프(62)로부터의 IR광의 2개의 IR광의 신호를 계측하고, 광로 스위치(94)의 설정이 OFF일 때는 IR 램프(62)의 IR광으로부터의 신호만을 계측한다. 그리고, 광로 스위치(94)가 ON인 기간에서의 IR광의 고강도의 파장 프로파일로부터 광로 스위치(94)가 OFF인 기간에서의 IR광의 고강도의 파장 프로파일을 차감해서 외부 IR광원(93)으로부터의 IR광에 의한 파장 프로파일만을 추출한다.
다음으로, 도 6에 나타낸 바와 같이, 추출한 파장 프로파일의 데이터를 사용해서 적외 흡수단 파장을 산출하고, 당해 웨이퍼(2)의 처리 전에 미리 얻어둔 당해 적외 흡수단 파장의 크기와 웨이퍼의 온도와의 상관을 나타내는 데이터를 사용해서, 산출한 적외 흡수단 파장의 값에 가장 가까운 당해 데이터의 값에 대응하는 웨이퍼의 온도의 값을 처리 중의 웨이퍼(2)의 온도로서 검출한다. 또한, 광 멀티플렉서(98)의 채널을 웨이퍼(2)의 미들부에서의 집광광으로 전환해서 마찬가지인 수순으로 웨이퍼(2)의 미들부의 온도를 측정한다.
다음으로, 마찬가지로, 웨이퍼(2)의 외주부의 온도를 측정하고, 다시 웨이퍼(2)의 중심 부근의 온도 계측을 행한다. 이와 같이, 광 멀티플렉서(98)의 채널을 차례대로 전환하고, 또한, 광로 스위치(94)의 ON/OFF를 반복함에 의해, 웨이퍼(2) 중심→웨이퍼(2) 미들→웨이퍼(2) 외주→웨이퍼(2) 중심→웨이퍼(2) 미들→웨이퍼(2) 외주→····로 차례대로 웨이퍼(2)의 온도를 계측한다.
다음으로, 본 실시예에 있어서, 외부 IR광원으로부터의 IR광을 사용하지 않고, 가열용의 IR 램프(62)로부터의 IR광만을 사용해서 웨이퍼(2)의 온도를 측정하는 수순을 도 15를 사용해서 설명한다. 도 15는, 웨이퍼(2)의 가열 중으로부터 웨이퍼(2)의 냉각 중에 걸쳐 온도를 측정할 경우에 대해 나타낸 것이다.
광 멀티플렉서(98)를 웨이퍼 중심→웨이퍼 미들부→웨이퍼 에지로 순서대로 전환하면서, 각각의 채널마다 웨이퍼(2)를 투과한 IR 램프(62)로부터의 광을 분광 계측하고, 적외 흡수단 파장으로부터 웨이퍼 온도를 추정한다.
그리고, 웨이퍼(2)의 소정의 가열의 기간의 종료 또는 온도에의 도달이 검출되면, 가열의 공정이 종료하고 웨이퍼(2)를 냉각하는 공정이 개시되고, 가열용의 IR 램프(62)의 출력을 떨어뜨려 저전력으로 IR 램프(62)가 점등된다. 이 냉각의 기간 중의 IR 램프(62)의 전력은, 분광 계측에 있어서 얻어지는 신호 강도가 S/N비로서 1을 초과하도록 조절된다.
또한, IR 램프(62)는 중앙측으로부터 외주측까지의 3주분이 설치되어 있지만, 1주분만 저전력으로 점등시켜도 된다. 또한, IR 램프(62)의 하나의 주회(周回) 부분이 원호상의 램프를 복수 개, 예를 들면 45도보다 약간 작은 각도의 원호상의 램프를 동일한 반경의 위치에서 8개 배치하고, 이들을 하나의 1주분의 램프의 유닛으로서 구성하고 있을 경우에는, 어느 것이든 적어도 1개 이상이며 8개 미만의 램프만을 점등시켜도 된다.
본 실시예가 실시하는 웨이퍼(2)의 처리에 있어서, IR 램프(62)로부터의 IR광만을 사용해서 웨이퍼(2)의 온도를 측정할 경우의 동작의 흐름의 예가 도 16에 나타나 있다. 즉, 라디칼 조사 중이나 웨이퍼(2)의 냉각 중은 저전력으로 IR 램프(62)를 점등하고, 가열 중은 웨이퍼(2)의 가열에 필요한 전력으로 IR 램프(62)를 점등시킨다.
냉각 중이나 라디칼의 조사 중은 웨이퍼(2)를 스테이지(4)에 정전 흡착시키면서, 웨이퍼(2)의 이면에 He 가스를 공급하고, 이 상태에서 저전력으로 IR 램프(62)를 점등시킴으로써, 웨이퍼(2)의 온도의 상승을 억제한다.
다음으로, 본 실시예의 온도를 검출하는 구성에 있어서 온도의 교정의 방법에 대해 도 17을 사용해서 설명한다. 본 발명의 실시예에 있어서의 에칭 처리에 대해, 가열용 IR 램프의 광으로부터 방사되는 IR광의 파장 프로파일에 대해 설명하는 도면이다.
통상, IR광원으로부터 방사되는 광강도의 파장 프로파일은 점등 전력에 의존하여 변화한다. 일반적으로는, 저전력일 수록 장파장측으로 시프트한 프로파일 형상(색온도가 낮음)이 될 경우가 많다.
예를 들면, 도 15에 나타내는 바와 같이 온도의 계측을 위해 저전력으로 IR 램프(62)를 점등하고 있는 상태(도 16 중의 X)에 있어서의 프로파일은 도 17 중의 선X로 나타나는 프로파일로 되고, 웨이퍼(2)의 온도가 증대하고 있는 상태(도 14의 Z)에서는 도 17 중의 선Z의 프로파일, 웨이퍼(2)의 온도가 일정하게 유지되는 혹은온도의 변화가 억제되어 있는 상태(도 14의 Y)에서는 도 17 중의 선Y로 나타나는 프로파일과 같이 된다.
즉, 계측하는 타이밍에 따라 IR 램프(62)로부터 방사되는 IR광의 강도의 파장 프로파일이 변화한다. 그래서, 본 실시예에서는, 웨이퍼(2)가 처리실(1) 내에 반입되기 전에, 미리 복수의 서로 다른 값의 전력을 IR 램프(62)에 공급하고 각각의 전력의 값에 대응한 서로 다른 출력으로 점등시켜서, 도 17에서 나타내는 바와 같은, 분광 계측 강도의 파장 프로파일의 데이터를 취득해 둔다.
그 후에, 웨이퍼(2)를 처리실(1) 내에 반입하고 스테이지(4) 상에 정전 흡착하여 유지하고 이면에 He 가스를 공급한다. 이 상태에서 IR 램프(62)는 온도 계측용의 저전력이 공급되어 점등하고 있다.
그 후, 웨이퍼(2)의 온도가 스테이지(4)의 온도와 동일하거나 이것으로 간주할 정도로 근사한 값이 된다. 이 상태에서, 웨이퍼(2)의 온도는 스테이지(4)의 온도를 검지하는 열전대 온도계(71)의 출력으로부터 검출된 온도와 동일한 또는 근사한 값으로 되어 있다.
그래서, IR 램프(62)로부터의 IR광을 사용해서 상기와 같이 적외 흡수단 파장을 측정한다. 추출된 적외 흡수단 파장과 열전대에서 측정된 온도를 대응지어 이들을 데이터로 해서, 도시하지 않는 메모리나 하드디스크 등의 기억 장치 내에 기억한다. 라디칼(2)의 조사 중이나 웨이퍼(2)의 냉각 중에 있어서 IR 램프(62)를 저전력(온도 측정 모드)으로 점등하고 있을 때는 이 계측값의 상대값으로 해서 온도를 산출한다.
다음으로, 웨이퍼 가열 중(승온 중, 및, 일정 온도 유지 중)에서는, 각각의 상태에 따라 계측한 파장 프로파일을 IR 램프의 광강도의 파장 프로파일에 따라 교정한다. 예를 들면, 도 17에 나타낸 파장 프로파일에 대해, 온도 계측 모드에서의 측정값을 F(Z, λ), 승온 중에서는 F(X, λ), 일정 온도 유지 중에서는 F(Y, λ)로 한다. 그리고, 예를 들면 웨이퍼 가열 중(승온 중)에 계측한 프로파일을 H(Z, λ), 교정 완료의 파장 프로파일을 G(Z, λ)로 했을 때,
G(Z, λ)=H(Z, λ)×F(X, λ)/F(Z, λ)
로서 산출하고, 이 결과로부터 흡수단 파장을 산출하도록 해서 교정하면 된다.
즉, 간단히는 「웨이퍼 가열 중의 파장 프로파일의 측정값」×「웨이퍼 없음에서 온도 측정 모드로 측정한 파장 프로파일」÷「웨이퍼 없음에서 동일한 전력으로 IR 램프를 점등해서 측정한 파장 프로파일」로 해서 교정하고, 열전대 온도계에서의 계측 온도로부터의 상대값으로 해서 산출하면 된다.
또한, 상기는 가열용 IR 램프를 사용해서 측정할 경우의 온도 교정 방법에 대해 기술한 것이지만, 외부 IR광을 사용한 웨이퍼 온도 측정에 있어서도 웨이퍼 반입 후, 웨이퍼의 온도가 스테이지 온도와 동등하게 된 타이밍에 적외 흡수단 파장으로부터 온도를 추정하고, 이 값과 스테이지 내에 설치된 열전대 온도계에 의한 측정값을 비교해서, 온도를 교정하도록 하면 된다.
또한, 외부 IR광을 사용한 측정에 있어서, 가열용의 IR광의 영향을 차감해서 산출한 웨이퍼 온도와, 가열용 IR 램프의 광만을 사용해서 산출한 웨이퍼 온도의 2개의 정보를 사용해서, 장치의 프로세스를 관리해도 된다. 즉, 측정한 웨이퍼 온도에 의거하여, 가열 시간이나 3주분 설치한 IR 램프(62)의 내측(62-1), 미들(62-2), 외측(62-3)의 전력비를 조절하거나, 혹은, 냉각 시간을 조절하거나 하면 된다.
처리실…1, 웨이퍼…2, 방전 영역…3, 스테이지…4, 샤워 플레이트…5, 천판…6, 베이스 챔버…11, 석영 챔버…12, 조압 수단…14, 배기 수단…15, 진공 배기 배관…16, 가스 분산판…17, 컷 필터…25, 전극판…30, DC 전원…31, ICP 코일…34, 칠러…38, 유로…39, 매스플로우 컨트롤러…50, 가스 분배기…51, IR 램프…62, 반사판…63, IR 램프용 전원…64, 열전대…70, 열전대 온도계…71, IR광 투과창…74, 유로…75, 슬릿 판…78, 튜브…80, O-링…81, 누름부…83, 파이버 헤드…84, 석영 로드…85, 구멍…91, 파이버…92, 92-1, 92-2, 외부 IR광원…93, 광로 스위치…94, 광분배기…95, 분광기…96, 검출기…97, 광 멀티플렉서…98

Claims (5)

  1. 감압된 내측에 처리용의 가스가 공급되는 처리실을 내부에 구비한 진공 용기와, 상기 처리실 내측의 하부에 배치되고 처리용의 웨이퍼가 상면에 놓이는 스테이지와, 상기 처리실 상방에 배치되고 그 내부에서 처리용의 가스를 사용해서 플라스마가 형성되는 플라스마 형성실과, 상기 시료대의 상면의 상방이며 상기 처리실과 상기 플라스마 형성실 사이에 배치되고 상기 처리용의 가스가 도입되는 복수의 도입 구멍을 구비한 유전체제의 판 부재와, 이 판 부재의 외주측에서 이것을 둘러싸서 배치되고 상기 시료를 가열하기 위한 램프를 구비한 플라스마 처리 장치로서,
    웨이퍼 온도 측정을 위한 외부 IR광원과, 분광기와, 분광한 IR광을 검출하는 검출기를 갖고, 상기 스테이지에 파이버 설치 구멍을 복수 설치하고, 상기 파이버 설치 구멍에는 웨이퍼 온도 측정을 위한 외부 IR광원으로부터의 IR광을 상기 웨이퍼의 이면 측으로부터 조사하기 위한 IR광 조사 파이버와, 상기 웨이퍼 내를 투과·반사한 IR광을 집광하기 위한 IR광 집광 파이버를 삽입하고, 상기 IR광을 조사하기 위한 파이버의 도중에는 웨이퍼에의 IR광의 조사를 ON/OFF하기 위한 광로 스위치와 광을 복수로 분기하는 광분배기를 설치하고, 복수의 상기 IR광 집광 파이버는 광 멀티플렉서에 접속되고, 당해 광 멀티플렉서에서 선택된 채널의 광을 상기 분광기에 접속해서, 상기 검출기에 의해 측정되도록 구성된 것을 특징으로 하는 플라스마 처리 장치.
  2. 제1항에 있어서,
    광 파이버는 상기 스테이지에 재치(載置)된 웨이퍼면에 대해 경사지게 설치되어 있는 것을 특징으로 하는 플라스마 처리 장치.
  3. 제1항에 있어서,
    상기 스테이지에 설치된 파이버 설치 구멍에는, 일단이 종단된 석영제 튜브를 삽입하고, 당해 석영제 튜브 내에, 상기 IR광 조사용 파이버와, 상기 IR광 집광용 파이버를 설치한 것을 특징으로 하는 플라스마 처리 장치.
  4. 제1항에 있어서,
    상기 광로 스위치가 OFF인 상태에서 얻은 IR광 강도의 파장 프로파일 데이터로부터, 상기 광로 스위치가 ON인 상태에서 얻은 IR광 강도의 파장 프로파일 데이터를 차감하고, 당해 차감한 데이터로부터 적외 흡수단 파장을 산출하여 웨이퍼 온도를 추정하는 플라스마 처리 장치.
  5. 제1항에 있어서,
    상기 웨이퍼의 표면에 배치된 막 상에 상기 플라스마 중의 입자를 흡착시켜서 생성물층을 형성하는 흡착 공정과, 이 흡착 공정 후에 상기 처리실 내에 상기 램프에 의해 상기 웨이퍼를 가열하여 상기 생성물층을 탈리(脫離)하는 탈리 공정과, 이 탈리 공정 후에 상기 웨이퍼를 냉각하는 냉각 공정을 반복해서 상기 웨이퍼의 처리를 행하는 것이며, 상기 흡착 공정 및 냉각 공정 중에 상기 가열 공정 중의 것보다도 낮은 전력으로 상기 램프를 점등시키고 당해 램프로부터의 광을 사용해서 상기 웨이퍼의 온도를 검출하는 것을 특징으로 하는 플라스마 처리 장치.
KR1020170112451A 2016-10-28 2017-09-04 플라스마 처리 장치 KR102040567B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2016-211207 2016-10-28
JP2016211207A JP6820717B2 (ja) 2016-10-28 2016-10-28 プラズマ処理装置

Publications (2)

Publication Number Publication Date
KR20180046860A true KR20180046860A (ko) 2018-05-09
KR102040567B1 KR102040567B1 (ko) 2019-11-06

Family

ID=62022526

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170112451A KR102040567B1 (ko) 2016-10-28 2017-09-04 플라스마 처리 장치

Country Status (3)

Country Link
US (2) US20180122665A1 (ko)
JP (1) JP6820717B2 (ko)
KR (1) KR102040567B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200066589A (ko) * 2018-11-27 2020-06-10 주식회사 히타치하이테크 플라스마 처리 장치 및 그것을 이용한 시료의 처리 방법

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6820717B2 (ja) 2016-10-28 2021-01-27 株式会社日立ハイテク プラズマ処理装置
KR102286359B1 (ko) * 2018-11-14 2021-08-05 주식회사 히타치하이테크 플라스마 처리 장치 및 그것을 이용한 피처리 시료의 처리 방법
KR102445181B1 (ko) * 2019-02-01 2022-09-20 주식회사 히타치하이테크 에칭 방법 및 플라스마 처리 장치
JP7413093B2 (ja) * 2019-07-18 2024-01-15 キオクシア株式会社 エッチング方法、半導体製造装置、および半導体装置の製造方法
US20230118576A1 (en) * 2019-12-20 2023-04-20 Hitachi High-Tech Corporation Wafer processing method and plasma processing apparatus
WO2021192210A1 (ja) 2020-03-27 2021-09-30 株式会社日立ハイテク 半導体製造方法
JP7389938B1 (ja) * 2022-03-16 2023-11-30 株式会社日立ハイテク 温度検出装置および半導体処理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0629365A (ja) * 1990-05-23 1994-02-04 Interuniv Micro Electro Centrum Vzw 高温計による温度輻射測定方法及び装置
KR20080039251A (ko) * 2006-10-30 2008-05-07 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 에칭을 위한 엔드포인트 검출
KR20120026798A (ko) * 2010-09-10 2012-03-20 한국기계연구원 고속 온도 측정기
JP2015185594A (ja) 2014-03-20 2015-10-22 株式会社日立ハイテクノロジーズ エッチング装置
JP2016178257A (ja) * 2015-03-23 2016-10-06 株式会社日立ハイテクノロジーズ プラズマ処理装置

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3562677A (en) * 1968-11-22 1971-02-09 Corning Glass Works Cylindrical band-pass interdigital and comb-line filters
US5728253A (en) * 1993-03-04 1998-03-17 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
JP2000208524A (ja) 1999-01-13 2000-07-28 Tokyo Electron Ltd 温度モニタ用半導体ウエハの温度測定方法
WO2000054017A1 (en) * 1999-03-08 2000-09-14 C.I. Systems Ltd. Method and apparatus for active pyrometric measurement of the temperature of a body whose emissivity varies with wavelength
US6313441B1 (en) 1999-08-18 2001-11-06 Applied Materials, Inc. Control system and method for providing variable ramp rate operation of a thermal cycling system
WO2001050109A2 (en) 2000-01-05 2001-07-12 Tokyo Electron Limited Wafer band-edge measurement using spectroscopy and a process of uniform wafer temperature control
US6831742B1 (en) 2000-10-23 2004-12-14 Applied Materials, Inc Monitoring substrate processing using reflected radiation
JP2002164299A (ja) 2000-11-24 2002-06-07 Ebara Corp 基板加熱装置及び基板処理装置
JPWO2002054460A1 (ja) 2000-12-27 2004-05-13 株式会社ニコン 露光装置
NZ527424A (en) 2001-02-15 2005-02-25 Altana Pharma Ag Phthalayinone-piperidino-derivatives as PDE4 inhibitors
JP2003347278A (ja) 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
JP2004020337A (ja) * 2002-06-14 2004-01-22 Komatsu Ltd 温度測定装置
JP2004063670A (ja) 2002-07-26 2004-02-26 Dainippon Screen Mfg Co Ltd 制御装置および制御方法並びに熱処理装置および熱処理方法
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
JPWO2005022614A1 (ja) 2003-08-28 2007-11-01 株式会社ニコン 露光方法及び装置、並びにデバイス製造方法
US20050106876A1 (en) 2003-10-09 2005-05-19 Taylor Charles A.Ii Apparatus and method for real time measurement of substrate temperatures for use in semiconductor growth and wafer processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4925571B2 (ja) 2004-08-09 2012-04-25 アプライド マテリアルズ インコーポレイテッド 基板の熱的性質判定方法及び熱処理条件の決定方法
US20080093315A1 (en) * 2004-10-29 2008-04-24 Epicrew Corporation Support for Semiconductor Substrate
JP4689410B2 (ja) * 2005-08-29 2011-05-25 富士フイルム株式会社 平版印刷版支持体の再生方法
DE102006009480B4 (de) * 2006-02-27 2008-05-29 Eads Deutschland Gmbh Aerodynamisches Profil für Luftfahrzeuge und Windkraftanlagen sowie Verfahren zur Messung der Eisdicke auf einem aerodynamischen Profil
DE102006009460A1 (de) * 2006-03-01 2007-09-06 Infineon Technologies Ag Prozessgerät und Verfahren zur Bestimmung der Temperatur eines Substrats in dem Prozessgerät
JP4943716B2 (ja) 2006-03-01 2012-05-30 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5006560B2 (ja) * 2006-03-27 2012-08-22 大阪瓦斯株式会社 温度検出方法、温度検出装置及び温度検出装置を備えた加熱調理器
WO2008047859A1 (en) * 2006-10-18 2008-04-24 Fujikura Ltd. Optical fiber thermometer and temperature compensation optical fiber sensor
US7582491B2 (en) * 2006-10-27 2009-09-01 Tokyo Electron Limited Method for diagnosing electrostatic chuck, vacuum processing apparatus, and storage medium
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US20090316749A1 (en) * 2008-06-23 2009-12-24 Matthew Fenton Davis Substrate temperature measurement by infrared transmission in an etch process
JP2010209410A (ja) 2009-03-10 2010-09-24 Tokyo Electron Ltd Cu膜の成膜方法および記憶媒体
JP2011176128A (ja) 2010-02-24 2011-09-08 Toshiba Corp 半導体製造装置及び半導体装置の製造方法
JP6012933B2 (ja) 2011-04-26 2016-10-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および基板処理方法
KR101331420B1 (ko) 2011-03-04 2013-11-21 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
JP2012208050A (ja) 2011-03-30 2012-10-25 Tokyo Electron Ltd 測定装置及びプラズマ処理装置
JP5248662B2 (ja) * 2011-07-15 2013-07-31 シャープ株式会社 基板処理装置、および薄膜太陽電池の製造装置
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US9200965B2 (en) 2012-06-26 2015-12-01 Veeco Instruments Inc. Temperature control for GaN based materials
US10085017B2 (en) 2012-11-29 2018-09-25 Advanced Micro Devices, Inc. Bandwidth saving architecture for scalable video coding spatial mode
JP6041709B2 (ja) 2013-03-05 2016-12-14 東京エレクトロン株式会社 金属層をエッチングする方法
JP2015012243A (ja) 2013-07-01 2015-01-19 東京エレクトロン株式会社 被処理体の処理方法
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
JP6199250B2 (ja) 2014-07-25 2017-09-20 東京エレクトロン株式会社 被処理体を処理する方法
JP2017084965A (ja) 2015-10-28 2017-05-18 東京エレクトロン株式会社 遷移金属膜のエッチング方法及び基板処理装置
JP6625891B2 (ja) 2016-02-10 2019-12-25 株式会社日立ハイテクノロジーズ 真空処理装置
KR101874822B1 (ko) 2016-04-01 2018-07-06 주식회사 테스 실리콘산화막의 선택적 식각 방법
JP6827287B2 (ja) 2016-09-28 2021-02-10 株式会社日立ハイテク プラズマ処理装置の運転方法
JP6820717B2 (ja) 2016-10-28 2021-01-27 株式会社日立ハイテク プラズマ処理装置
CN106533087B (zh) 2016-10-31 2018-06-12 北京金风科创风电设备有限公司 磁极防护层真空脱附浸渍固化系统、真空脱附装置及工艺
KR20180062812A (ko) 2016-12-01 2018-06-11 삼성전자주식회사 이종의 메모리 소자들을 포함하는 집적회로 소자 및 그 제조 방법
JP6882053B2 (ja) 2016-12-05 2021-06-02 キヤノン株式会社 カタディオプトリック光学系、照明光学系、露光装置および物品製造方法
JP2018107202A (ja) 2016-12-22 2018-07-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ制御方法
WO2018128078A1 (ja) 2017-01-04 2018-07-12 セントラル硝子株式会社 ドライエッチング方法及びエッチング装置
WO2018128079A1 (ja) 2017-01-04 2018-07-12 セントラル硝子株式会社 ドライエッチング方法及びβ-ジケトン充填済み容器
JP6730941B2 (ja) 2017-01-10 2020-07-29 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP7073098B2 (ja) 2017-12-27 2022-05-23 株式会社日立ハイテク ウエハ処理方法およびウエハ処理装置
JP2019161157A (ja) 2018-03-16 2019-09-19 株式会社日立ハイテクノロジーズ プラズマ処理方法及びプラズマ処理装置
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
JP6877581B2 (ja) 2018-11-27 2021-05-26 株式会社日立ハイテク プラズマ処理装置及びそれを用いた試料の処理方法
JP7418173B2 (ja) 2019-09-24 2024-01-19 東芝テック株式会社 顧客モニタリングシステム、及び顧客モニタリング方法
WO2021192210A1 (ja) 2020-03-27 2021-09-30 株式会社日立ハイテク 半導体製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0629365A (ja) * 1990-05-23 1994-02-04 Interuniv Micro Electro Centrum Vzw 高温計による温度輻射測定方法及び装置
KR20080039251A (ko) * 2006-10-30 2008-05-07 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 에칭을 위한 엔드포인트 검출
KR20120026798A (ko) * 2010-09-10 2012-03-20 한국기계연구원 고속 온도 측정기
JP2015185594A (ja) 2014-03-20 2015-10-22 株式会社日立ハイテクノロジーズ エッチング装置
JP2016178257A (ja) * 2015-03-23 2016-10-06 株式会社日立ハイテクノロジーズ プラズマ処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200066589A (ko) * 2018-11-27 2020-06-10 주식회사 히타치하이테크 플라스마 처리 장치 및 그것을 이용한 시료의 처리 방법

Also Published As

Publication number Publication date
US20200328099A1 (en) 2020-10-15
KR102040567B1 (ko) 2019-11-06
JP2018073962A (ja) 2018-05-10
JP6820717B2 (ja) 2021-01-27
US11915951B2 (en) 2024-02-27
US20180122665A1 (en) 2018-05-03

Similar Documents

Publication Publication Date Title
KR20180046860A (ko) 플라스마 처리 장치
JP6837886B2 (ja) プラズマ処理装置およびプラズマ処理方法
US7648916B2 (en) Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
JP3774094B2 (ja) 膜厚、加工深さ測定装置及び成膜加工方法
US10141207B2 (en) Operation method of plasma processing apparatus
US4689112A (en) Method and apparatus for dry processing of substrates
US4699689A (en) Method and apparatus for dry processing of substrates
US20090277872A1 (en) Plasma etching method capable of detecting end point and plasma etching device therefor
TWI722186B (zh) 利用電漿連同快速熱活化製程的原子層蝕刻方法
US20080070327A1 (en) Plasma processing method and plasma processing apparatus
JP2007251042A (ja) プラズマ処理方法及びプラズマ処理装置
JP3951003B2 (ja) プラズマ処理装置および方法
US20100190098A1 (en) Infrared endpoint detection for photoresist strip processes
KR20200125575A (ko) 플라스마 처리 방법 및 플라스마 처리 장치
KR102306371B1 (ko) 플라스마 처리 장치 및 그것을 이용한 시료의 처리 방법
US11276579B2 (en) Substrate processing method and plasma processing apparatus
US10892145B2 (en) Substrate processing apparatus, substrate processing method, and method of fabricating semiconductor device using the same
JP6935598B1 (ja) プラズマ処理装置およびウエハ処理方法
US20240047222A1 (en) Etching method
JP2001274139A (ja) アッシング装置およびアッシング方法
JPH03208340A (ja) 光励起ドライエッチング装置
JPS59194436A (ja) ドライエツチング方法および装置
JPH01226151A (ja) プラズマエッチング方法及び装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant