KR20170015215A - 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램 및 가스 공급관 - Google Patents

기판 처리 장치, 반도체 장치의 제조 방법, 프로그램 및 가스 공급관 Download PDF

Info

Publication number
KR20170015215A
KR20170015215A KR1020160096030A KR20160096030A KR20170015215A KR 20170015215 A KR20170015215 A KR 20170015215A KR 1020160096030 A KR1020160096030 A KR 1020160096030A KR 20160096030 A KR20160096030 A KR 20160096030A KR 20170015215 A KR20170015215 A KR 20170015215A
Authority
KR
South Korea
Prior art keywords
gas
gas supply
pipe
nozzle
processing chamber
Prior art date
Application number
KR1020160096030A
Other languages
English (en)
Other versions
KR101929096B1 (ko
Inventor
츠카사 가마쿠라
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20170015215A publication Critical patent/KR20170015215A/ko
Application granted granted Critical
Publication of KR101929096B1 publication Critical patent/KR101929096B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

다단으로 적재되는 기판의 막 두께의 균일성을 향상시키는 구성을 제공하는 데 있다. 처리실 내에 가스를 공급하는 가스 공급계와, 처리실 내의 가스를 배출하는 배기계와, 처리실 내에 가스를 공급하는 구멍이 형성되고, 한쪽 끝은 가스 공급계에 접속되고, 다른 쪽 끝은 처리실의 밖에서 배기계에 접속되는 가스 공급관을 구비하는 구성이 제공된다.

Description

기판 처리 장치, 반도체 장치의 제조 방법, 프로그램 및 가스 공급관{SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, PROGRAM, AND GAS SUPPPLY PIPE}
본 개시는 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램 및 가스 공급관에 관한 것이다.
기판 처리 장치의 일례로서, 반도체 제조 장치가 있고, 또한 반도체 제조 장치의 일례로서, 종형 장치가 알려져 있다(예를 들어, 일본 특허 공개 제2011-54590호 공보). 이러한 종류의 반도체 제조 장치는, 기판(웨이퍼)을 다단으로 보유 지지하는 기판 보유 지지구(보트)를 갖고, 이 기판 보유 지지구에 이송실에서 다수의 기판을 이동 탑재하여, 이 다수의 기판을 보유 지지한 상태에서 처리 로 내의 처리실에 설치된 노즐로부터 처리 가스를 공급해서 기판을 처리하는 것이다.
일본 특허 공개 제2011-54590호 공보
본 개시의 과제는 다단으로 적재되는 기판의 막 두께의 균일성을 향상시키는 구성을 제공하는 데 있다.
본 발명의 일 형태에 의하면, 처리실 내에 가스를 공급하는 가스 공급계와, 상기 처리실 내의 가스를 배출하는 배기계와, 복수의 구멍을 갖고, 상기 처리실 내에 상기 구멍을 통하여 가스를 공급하고, 상기 처리실 내에 배치되어있고, 한쪽 끝은 상기 가스 공급계에 접속되고, 다른 쪽 끝은 배관을 통해서 상기 처리실의 밖에서 상기 배기계에 접속되는 가스 공급관을 포함하는 구성이 제공된다.
본 발명에 따르면, 노즐 내의 배기 압력 및 가스 유속을 제어함으로써, 막 두께의 균일성을 향상시키는 것이 가능하게 된다.
도 1은 본 발명의 실시 형태에 적절하게 사용되는 기판 처리 장치를 도시하는 사시도이다.
도 2는 본 발명의 실시 형태에 적절하게 사용되는 처리 로의 개략 구성도이며, 처리 로 부분을 종단면으로 도시한 도면이다.
도 3은 도 2에 도시하는 기판 처리 로의 A-A 단면도이다.
도 4는 본 발명의 실시 형태에 적절하게 사용되는 처리 로에 있어서의 가스 공급계를 설명하기 위한 도면이다.
도 5a는 실시예에 관한 노즐의 형상을 설명하기 위한 도면이다.
도 5b는 실시예에 관한 노즐의 형상을 설명하기 위한 도면이다.
도 6은 실시예에 관한 노즐의 효과를 설명하기 위한 도면이다.
도 7은 본 발명의 실시 형태에 적절하게 사용되는 기판 처리 장치의 컨트롤러를 설명하기 위한 블록도이다.
도 8은 본 발명의 실시 형태에 적절하게 사용되는 기판 처리 공정을 설명하기 위한 흐름도이다.
도 9a는 변형예 1에 관한 노즐의 형상을 설명하기 위한 도면이다.
도 9b는 변형예 1에 관한 노즐의 형상을 설명하기 위한 도면이다.
도 10a는 변형예 2에 관한 노즐의 형상을 설명하기 위한 도면이다.
도 10b는 변형예 2에 관한 노즐의 형상을 설명하기 위한 도면이다.
도 10c는 변형예 2에 관한 노즐의 형상을 설명하기 위한 도면이다.
도 10d는 변형예 2에 관한 노즐의 형상을 설명하기 위한 도면이다.
도 10e는 변형예 2에 관한 노즐의 형상을 설명하기 위한 도면이다.
도 10f는 변형예 2에 관한 노즐의 형상을 설명하기 위한 도면이다.
도 11은 비교예에 관한 기판 처리 장치의 노즐 내의 Si2Cl6 기상 반응을 설명하기 위한 도면이다.
도 12는 본 발명의 실시 형태에 적절하게 사용되는 처리실 내의 클리닝 공정을 설명하기 위한 흐름도이다.
도 13a는 본 발명의 실시 형태에 적절하게 사용되는 노즐 클리닝 공정의 제1 예를 설명하기 위한 흐름도이다.
도 13b는 본 발명의 실시 형태에 적절하게 사용되는 노즐 클리닝 공정의 제2 예를 설명하기 위한 흐름도이다.
도 13c는 본 발명의 실시 형태에 적절하게 사용되는 노즐 클리닝 공정의 제3 예를 설명하기 위한 흐름도이다.
도 14는 본 발명의 실시 형태에 적절하게 사용되는 처리실 및 노즐의 클리닝 공정을 설명하기 위한 흐름도이다.
이하, 본 발명의 실시 형태에 대해서, 도면을 사용해서 설명한다. 단, 이하의 설명에 있어서, 동일 구성 요소에는 동일 부호를 붙이고 반복 설명을 생략하는 경우가 있다. 또한, 도면은 설명을 보다 명확하게 하기 위해서, 실제의 형태에 비해, 각 부의 폭, 두께, 형상 등에 대해서 모식적으로 표현되는 경우가 있지만, 어디까지나 일례이며, 본 발명의 해석을 한정하는 것이 아니다.
우선, 본원 발명자들이 다공 노즐을 갖는 종형 처리 로에 대해서 검토하였다. 다공 노즐을 갖는 종형 처리 로에 있어서, 면간(Top 내지 Bottom 영역) 막 두께 균일성 및 분포 형상 제어를 곤란하게 하고 있는 요인의 하나가 노즐 내의 원료 분해이다. 이하, 이것에 대해서 도 11을 사용해서 설명한다. 도 11은 노즐 내의 원료 가스 분해의 시뮬레이션 결과를 도시하는 도면이다. 도 11에서는 원료 가스가 헥사클로로디실란(Si2Cl6, 약칭: HCDS)으로, 유량이 66.6sccm으로 시뮬레이션을 행하고 있다. 이때의 처리실 내의 압력은 20Pa이다.
원료 가스를 기판 처리실 내에 설치한 노즐 타입의 공급관을 사용해서 공급하는 반도체 디바이스 제조 장치에 있어서, 공급관(이하, 노즐이라고 함)은, 원료 공급 장치에 접속된 하나의 끝과, 기판 처리실 내에서 폐쇄된 또 하나의 끝을 갖는다. 원료 가스는 원료 공급 시스템으로부터 노즐 내에 도입된다. 노즐 내에 도입된 원료 가스는, 기판 처리실의 외부에 설치된 가열원, 예를 들어 저항 가열 히터에 의해 가열된다. 한쪽 끝이 폐쇄된 상기 구조에서는, 원료 가스는 원료 공급 시스템에서 제어된 온도(도 11에서는 200℃)에서 노즐 내에 도입된 뒤, 저항 가열 히터에 의해 설정된 온도(도 11에서는 노즐 벽면 온도는 630℃)까지 상승한다. 노즐 내의 원료 가스의 유속은, 입구 부근에서는 빠르고 종단을 향해서 서서히 느려진다. 노즐 내의 원료 가스의 온도 구배는, 원료 가스의 유속에 크게 지배된다. 즉, 유속이 늦을수록 가열원으로부터의 열을 수취하기 때문에, 노즐에서 급격하게 가열되어 온도 구배가 급준해진다. 원료 가스는, 온도의 상승에 의해 분해가 촉진되기 때문에, 노즐 내의 원료 가스는 이 온도 구배에 따라서 분해된다. 온도 구배는, 기판 처리실에 원료 가스를 공급하기 위해서 노즐에 복수 개 형성된 구멍의, 원료 공급 시스템측에서 보아 최초의 구멍(도 11에서는 #1)과 최후의 구멍(도 11에서는 #33), 즉 폐쇄된 끝에 가장 가까운 구멍의 사이에서 발생한다. 이 때문에, 원료 가스 온도가 낮은 최초의 구멍에서는 원료 가스의 분해, 예를 들어 Si2Cl6의 경우, 하기에 나타낸 (1)의 분해가 주된 분해 과정이기 때문에, 원료 가스에서 차지하는 SiCl2(또는 SiCl4)의 비율은 작다(도 11에서는 SiCl2의 몰 분율은 0.1 정도).
Si2Cl6→SiCl2+SiCl4 … (1)
한편, 최후의 구멍 부근에서는 원료 가스가 충분히 가열되어 있기 때문에, 거의 모든 원료가 SiCl2와 SiCl4로 분해된다(도 11에서는 SiCl2의 몰 분율은 0.5 정도). 분해의 비율은 저항 가열 히터의 온도 설정과 가스의 분해 온도 등에 의해 결정된다. Si2Cl6의 예에서는, 분해 후에 성막에 기여하는 것이 SiCl2이기 때문에, 최초의 구멍 부근의 처리 기판에서는 노즐 구멍 부근의 막 두께가 얇아져, 면내 편차가 커진다. 한편, 최후의 구멍 부근에서는 SiCl2가 풍부하게 존재하기 때문에, 노즐 구멍 부근에서의 막 두께의 감소는 보이지 않는다.
고집적화가 진행된 최근의 디바이스는 복잡한 구조를 갖기 때문에, 일반적으로 표면적이 커진다. 이 때문에 피복성이 좋은 원료 가스와 반응 가스의 교대 공급의 방식이 많이 사용되는데, SiCl2 등 활성의 가스종이 있는 경우, 일부에서 CVD 반응이 발생하기 때문에 피복률의 저하 등이 발생한다.
<본 발명의 실시 형태>
따라서, 본 발명의 실시 형태에 따른 기판 처리 장치에서는, 도 4 또는 도 5에 도시한 바와 같이, 노즐 내의 원료 가스의 온도 구배를 작게 하기 위해서, 노즐 하류의 원료 가스의 온도를 올리지 않도록, 노즐 내의 유속을 높게 유지하기 위해서, 노즐 끝을 개방하여, 예를 들어 배기 배관에 접속하도록 구성되어 있다. 이에 의해, 본 실시 형태에 따르면, 원료의 노즐 내에서의 분해를 제어하는 것이 가능하게 되어, 기판 처리실에 복수매 설치된 처리 기판의 퇴적 막의 균일성을 개선할 수 있다.
또한, 이하의 설명에서는, 기판 처리 장치로서 기판에 산화, 확산 처리나 CVD 처리 등을 행하는 종형의 장치(이하, 간단히 처리 장치라고 함)에 대하여 설명한다.
(1) 처리 장치
도 1에 도시되어 있는 바와 같이, 실리콘 등으로 이루어지는 웨이퍼(기판)(200)를 수납한 웨이퍼 캐리어로서의 카세트(100)가 사용되고 있는 처리 장치(1)는, 하우징(101)을 구비하고 있다. 카세트 반입 반출구(도시하지 않음)의 하우징(101) 내측에는 카세트 스테이지(기판 수용기 전달대)(105)가 설치되어 있다. 카세트(100)는, 카세트 스테이지(105) 상에 공정내 반송 장치(도시하지 않음)에 의해 반입되고, 또한 카세트 스테이지(105) 상으로부터 반출되도록 되어 있다. 카세트 스테이지(105)는, 공정내 반송 장치에 의해, 카세트(100) 내의 웨이퍼(200)가 수직 자세로 되어, 카세트(100)의 웨이퍼 출납구가 상방향을 향하도록 적재된다. 카세트 스테이지(105)는, 카세트(100)를 하우징 후방으로 우측으로 세로 방향 90° 회전하여, 카세트(100) 내의 웨이퍼(200)가 수평 자세로 되어, 카세트(100)의 웨이퍼 출납구가 하우징 후방을 향하도록 동작 가능하게 되도록 구성되어 있다.
하우징(101) 내의 전후 방향의 대략 중앙부에는, 카세트 선반(기판 수용기 적재 선반)(109)이 설치되어 있고, 카세트 선반(109)은, 복수 단 복수 열로 복수 개의 카세트(100)를 보관하도록 구성되어 있다. 카세트 선반(109)에는 카세트(100)가 수납되는 이동 탑재 선반(123)이 설치되어 있다. 또한, 카세트 스테이지(105)의 상방에는 예비 카세트 선반(110)이 설치되어, 예비적으로 카세트(100)를 보관하도록 구성되어 있다. 카세트 스테이지(105)와 카세트 선반(109)과의 사이에는, 카세트(100)를 보유 지지한 채 승강 가능한 카세트 엘리베이터(기판 수용기 승강 기구)(115)와 카세트 이동 탑재기(114)로 구성되어 있고, 카세트 엘리베이터(115)와 카세트 이동 탑재기(114)와의 연속 동작에 의해, 카세트 스테이지(105), 카세트 선반(109), 예비 카세트 선반(110)과의 사이에서, 카세트(100)를 반송하도록 구성되어 있다.
카세트 선반(109)의 후방에는, 웨이퍼(200)를 수평 방향으로 회전 내지 직동 가능한 웨이퍼 이동 탑재기(112) 및 웨이퍼 이동 탑재기(112)를 승강시키기 위한 이동 탑재 엘리베이터(113)로 구성되어 있다. 이동 탑재 엘리베이터(113)는, 내압 하우징(101)의 우측 단부에 설치되어 있다. 이들, 이동 탑재 엘리베이터(113) 및 웨이퍼 이동 탑재기(112)의 연속 동작에 의해, 웨이퍼 이동 탑재기(112)의 트위저(기판 보유 지지체)(111)를 웨이퍼(200)의 적재부로서, 보트(기판 보유 지지 수단)(217)에 대하여 웨이퍼(200)를 장전(차징) 및 탈장(디스차징)하도록 구성되어 있다.
하우징(101)의 후부 상방에는, 처리 로(202)가 설치되어 있다. 처리 로(202)의 하단부는, 노구 셔터(노구 개폐 기구)(116)에 의해 개폐되도록 구성되어 있다. 처리 로(202)의 하방에는 보트(217)를 처리 로(202)에 승강시키는 승강 기구로서의 보트 엘리베이터(기판 보유 지지구 승강 기구)(121)가 설치되고, 보트 엘리베이터(121)의 승강대에 연결된 연결 부재로서의 승강 부재(122)에는, 덮개로서의 시일 캡(219)이 수평하게 설치되어 있고, 시일 캡(219)은 보트(217)를 수직으로 지지하고, 처리 로(202)의 하단부를 폐색 가능하도록 구성되어 있다. 기판 보유 지지 수단인 보트(217)는, 복수 개의 보트 기둥부(221)를 구비하고 있어, 복수 매(예를 들어, 50매 내지 150매 정도)의 웨이퍼(200)를 그 중심을 맞춰서 수직 방향으로 정렬시킨 상태에서, 각각 수평하게 보유 지지하도록 구성되어 있다.
도 1에 도시되어 있는 바와 같이, 카세트 선반(109)의 상방에는, 청정화한 분위기인 클린 에어를 공급하도록 공급 팬 및 방진 필터로 구성된 클린 유닛(118)이 설치되어 있어, 클린 에어를 상기 하우징(101)의 내부에 유통시키도록 구성되어 있다.
이어서, 처리 장치(1)의 동작에 대해서 설명한다.
도 1에 도시되어 있는 바와 같이, 카세트(100)는, 카세트 반입 반출구로부터 반입되어, 카세트 스테이지(105) 상에 웨이퍼(200)가 수직 자세이며, 카세트(100)의 웨이퍼 출납구가 상방향을 향하도록 적재된다. 그 후, 카세트(100)는, 카세트 스테이지(105)에 의해, 카세트(100) 내의 웨이퍼(200)가 수평 자세로 되어, 카세트(100)의 웨이퍼 출납구가 하우징 후방을 향하도록, 하우징 후방으로 우측으로 세로 방향 90° 회전시켜진다.
이어서, 카세트(100)는, 카세트 선반(109) 내지 예비 카세트 선반(110)의 지정된 선반 위치에 자동으로 반송되어 주고 받아져, 일시적으로 보관된 후, 카세트 선반(109) 내지 예비 카세트 선반(110)으로부터 이동 탑재 선반(123)에 이동 탑재되거나, 또는 직접 이동 탑재 선반(123)에 반송된다.
카세트(100)가 이동 탑재 선반(123)에 이동 탑재되면, 웨이퍼(200)는 카세트(100)로부터 웨이퍼 이동 탑재기(112)의 트위저(111)에 의해 웨이퍼 출납구를 통해서 픽업되어, 보트(217)에 장전(차징)된다. 보트(217)에 웨이퍼(200)를 주고 받은 웨이퍼 이동 탑재기(112)는 카세트(100)로 복귀되어, 다음 웨이퍼(200)를 보트(217)에 장전한다.
미리 지정된 매수의 웨이퍼(200)가 보트(217)에 장전되면, 노구 셔터(116)에 의해 폐쇄되어 있던 처리 로(202)의 하단부가, 노구 셔터(116)에 의해 개방된다. 계속해서, 웨이퍼(200)군을 보유 지지한 보트(217)는, 시일 캡(219)이 보트 엘리베이터(121)에 의해 상승됨으로써, 처리 로(202) 내에 반입(로딩)되어 간다.
로딩 후에는, 처리 로(202)에서 웨이퍼(200)에 임의의 처리가 실시된다. 처리 후에는, 상술한 역의 수순으로, 웨이퍼(200) 및 카세트(100)는 하우징(101)의 외부로 보내진다.
(1-1) 처리 로
이어서, 상술한 처리 로(202)에 대해 도 2 및 도 3에 기초하여 상세하게 설명한다.
도 2에 도시한 바와 같이, 가열 장치(가열 수단)인 히터(207)의 내측에, 기판인 웨이퍼(200)를 처리하는 반응 용기로서의 반응관(203)이 설치되고, 이 반응관(203)의 하단에는, 예를 들어 스테인리스 등에 의해 매니폴드(209)가 기밀 부재인 O링(220)을 개재해서 하단 개구는 덮개인 시일 캡(219)에 의해 O링(220)을 개재해서 기밀하게 폐색되어, 적어도, 반응관(203), 매니폴드(209) 및 시일 캡(219)에 의해 처리실(반응실)(201)을 형성하고 있다. 시일 캡(219)에는 보트 지지대(218)를 통해 기판 보유 지지 부재(기판 보유 지지 수단)인 보트(217)가 세워 설치되고, 보트 지지대(218)는 보트를 보유 지지하는 보유 지지체로 되어 있다. 그리고, 보트(217)는, 처리실(201)에 삽입된다. 보트(217)에는 뱃치 처리되는 복수의 웨이퍼(200)가 수평 자세로 관축 방향으로 다단으로 적재된다. 히터(207)는, 처리실(201)에 삽입된 웨이퍼(200)를 소정의 온도로 가열한다.
(1-2) 가스 공급계
처리실(201)에는 복수 종류, 여기에서는 2종류의 처리 가스를 공급하는 공급 경로로서의 2개의 가스 공급계가 설치되어 있다.
(제1 가스 공급계)
도 2 및 도 3에 도시한 바와 같이, 제1 가스 공급계는 제1 가스 공급 라인과 제1 캐리어 가스 공급 라인으로 구성된다. 제1 가스 공급 라인은, 상류 방향에서부터 순서대로, 제1 가스 공급부(232), 제1 배관(250a), 유량 제어 장치(유량 제어 수단)인 제1 매스 플로우 컨트롤러(241a), 제2 배관(250b) 및 개폐 밸브인 제1 밸브(243a)로 구성된다. 제1 캐리어 가스 공급 라인은, 상류 방향에서부터 순서대로, 캐리어 가스를 공급하는 제1 캐리어 가스 공급관(234a), 유량 제어 장치(유량 제어 수단)인 제2 매스 플로우 컨트롤러(241b), 제3 배관(250c) 및 개폐 밸브인 제2 밸브(243b)로 구성된다. 제1 가스 공급 라인의 제1 밸브(243a)와 제1 캐리어 가스 공급 라인의 제2 밸브(243b)와의 출력이 합류하여, 제4 배관(250d)에 접속되어 있다. 또한, 제4 배관(250d)의 선단부에는, 처리실(201)을 구성하고 있는 반응관(203)의 내벽과 웨이퍼(200)와의 사이에서의 원호 형상의 공간에, 반응관(203)의 하부로부터 상부의 내벽에 웨이퍼(200)의 적재 방향을 따라, 제1 노즐(233a)이 설치되고, 제1 노즐(233a)의 측면에는 가스를 공급하는 공급 구멍인 제1 가스 공급 구멍(248a)이 복수 개 형성되어 있다. 이 제1 가스 공급 구멍(248a)은, 하부로부터 상부에 걸쳐 각각 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다. 제1 가스 구멍(248a)은, 0.1 내지 5mm의 구멍 직경이며, 구멍의 수는 처리 웨이퍼의 매수의 3배 이하의 수인 것이 바람직하다.
따라서, 제1 가스는, 제1 가스 공급부(232)로부터 제1 배관(250a), 제1 매스 플로우 컨트롤러(241a), 제2 배관(250b), 제1 밸브(243a)를 통해서, 제1 캐리어 가스 공급관(234a)으로부터 제2 매스 플로우 컨트롤러(241b), 제3 배관(250c), 제2 밸브(243b)를 통해서 공급되는 캐리어 가스와 합류하여, 제4 배관(250d), 제1 노즐(233a)에 형성된 제1 가스 공급 구멍(248a)을 통해서 처리실(201)에 공급된다.
(제2 가스 공급계)
이어서, 도 4 및 도 5를 사용하여, 처리실(201)에 원료 가스를 공급하는 제2 가스 공급계에 대해서 상세하게 설명한다.
제2 가스 공급계는, 제2 가스 공급 라인과 제2 캐리어 가스 공급 라인과 클리닝 가스 공급 라인으로 구성된다. 제2 가스 공급 라인은, 상류 방향에서부터 순서대로, 원료를 수용하는 원료 공급부(300), 제5 배관(250e), 개폐 밸브인 제3 밸브(243c), 필터(302), 레귤레이터(304), 압력계(305), 개폐 밸브인 제4 밸브(243d), 유량 제어 장치(유량 제어 수단)인 제3 매스 플로우 컨트롤러(241c), 제6 배관(250f) 및 개폐 밸브인 제5 밸브(243e)로 구성된다. 제2 캐리어 가스 공급 라인은, 상류 방향에서부터 순서대로, 캐리어 가스를 공급하는 제2 캐리어 가스 공급관(234b), 유량 제어 장치(유량 제어 수단)인 제4 매스 플로우 컨트롤러(241d), 제7 배관(250g) 및 개폐 밸브인 제6 밸브(243f)로 구성된다. 또한, 클리닝 가스 공급 라인은, 상류 방향에서부터 순서대로, 클리닝 가스를 공급하는 클리닝 가스 공급관(234c), 유량 제어 장치(유량 제어 수단)인 제5 매스 플로우 컨트롤러(241e), 제9 배관(250i), 개폐 밸브인 제7 밸브(243h)로 구성된다. 제2 가스 공급 라인의 제5 밸브(243e)와 제2 캐리어 가스 공급 라인의 제6 밸브(243f)와 클리닝 가스 공급 라인의 제7 밸브(243h)와의 출력이 합류하여, 제8 배관(250h)에 접속되어 있다. 또한, 제8 배관(250h)은, 처리실(201) 내에 배치된 배관(400a)에 접속된다. 본 발명의 실시예에 따르면, 제2 노즐(233b)로서의 U자 형상의 노즐(233b)의 각 끝이 개방되어있다. 도 5a에 도시한 바와 같이, 배관(400a)은, U자 형상의 노즐(233b)의 한쪽의 하단에 접속되고, U자 형상의 노즐(233b)의 다른 쪽의 하단은 처리실(201) 내에 배치된 배관(400b)에 접속된다. 배관(400b)은, 제10 배관(250j)을 통해서 배기 배관(315)에 접속된다. 처리실(201)을 구성하고 있는 반응관(203)의 내벽과 웨이퍼(200)와의 사이에서의 원호 형상의 공간에, 반응관(203)의 하부로부터 상부의 내벽에 웨이퍼(200)의 적재 방향을 따라 제2 노즐(233b)이 설치되고, 제2 노즐(233b)의 측면에는 가스를 공급하는 공급 구멍인 제2 가스 공급 구멍(248b)이 형성되어 있다. 도 5a에 도시한 바와 같이, 이 제2 가스 공급 구멍(248b)은, 제2 노즐(233b)의 가스 공급계측(배관(400a)측)의 하부로부터 상부에 걸쳐 각각 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다. 제2 가스 공급 구멍(248b)은, 0.1 내지 5mm의 구멍 직경이며, 구멍의 수는 처리 웨이퍼의 매수의 3배 이하의 수인 것이 바람직하다. 즉, 이와 같이, 구멍 직경 및/또는 구멍의 수를 조정함으로써, 노즐로부터 공급되는 가스의 유량을 균등하게 할 수 있다.
따라서, 제2 가스는, 원료 가스가, 원료 공급부(300)로부터 제5 배관(250e), 제3 밸브(243c), 필터(302), 레귤레이터(304), 압력계(305), 제4 밸브(243d), 제3 매스 플로우 컨트롤러(241c), 제6 배관(250f), 제5 밸브(243e)를 통해서, 제2 캐리어 가스 공급관(234b)으로부터 제4 매스 플로우 컨트롤러(241d), 제7 배관(250g), 제6 밸브(243f)를 통해서 공급되는 캐리어 가스와 합류하고, 제8 배관(250h), 배관(400a)을 통해서, 제2 노즐(233b)의 하단측으로부터 제2 가스 공급 구멍(248b)을 통해서 처리실(201)에 공급된다.
또한, 본 발명의 실시예에 관한 도 5a에서는, 제2 가스 공급 구멍(248b)은, 제2 노즐(233b)의 가스 공급계측의 하부로부터 상부에 걸쳐 형성되어 있지만, 도 5b에 도시한 바와 같이, 제2 노즐(233b)의 가스 배기계측의 상부로부터 하부에 걸쳐 형성되어도 된다. 또한, 제1 노즐(233a), 제2 노즐(233b)을 각각, 제1 가스 공급계, 제2 가스 공급계에 포함해도 된다.
(1-3) 배기계
또한, 처리실(201)은, 가스를 배기하는 배기관인 가스 배기관(231)에 의해 밸브(314)를 통해서 배기 장치(배기 수단)인 진공 펌프(246)에 접속되어, 진공 배기되도록 되어 있다. 또한, 이 밸브(314)는, 밸브를 개폐해서 처리실(201)의 진공 배기·진공 배기 정지를 할 수 있고, 또한 밸브 개방도를 조절해서 압력 조정 가능하게 되어 있는 개폐 밸브이다.
또한, 제2 노즐(233b)이 처리실(201)로부터 나와 배기 배관(315)에 접속되는 도중에(제10 배관(250j)과 배기 배관(315)과의 사이에), 컨덕턴스를 조정하는 밸브(316), 예를 들어 니들 밸브를 설치하여, 제2 노즐(233b) 내의 유속을 제어하게 된다.
노즐의 배기 압력(배기압)과 가스(예를 들어 SiCl2)의 분해의 관계에 대해서 도 6을 사용해서 설명한다. 도 6은 실시예에 관한 노즐에 있어서의 SiCl2의 몰 분율의 시뮬레이션의 결과를 도시하는 도면이다. 노즐 배기압을 10, 25, 50, 400Pa로 한 경우의 가스 공급 구멍간의 SiCl2의 몰 분율의 편차를 나타내고 있다. 이때의 처리실 내의 온도는 630℃, 압력은 20Pa이다. 노즐 배기압이 25Pa(파선 A), 50Pa(실선 B)에서는 편차가 작지만, 10Pa(실선 C), 400Pa(실선 D)에서는 편차가 크다. 따라서, 노즐 배기압은 25 내지 50Pa인 것이 바람직하다. 또한, 이에 의해, 노즐 내 배기압과 처리실 내 압력의 비를 1:1 내지 3:1 정도(바람직하게는, 1.25:1 내지 2.5)로 조정함으로써, 노즐 내의 원료 가스의 열분해를 기판 처리 영역 내에서 균등하게 할 수 있음을 알 수 있다. 또한, 노즐의 선단이 개방되어 있으므로, 처리실 내에의 공급량이 1/10 정도가 된다. 따라서, 노즐 배기압을 25Pa로 HCDS의 유량을 10배로 하면(실선 E), 위의 가스 공급 구멍(#32)과 아래의 가스 공급 구멍(#0)과의 차는 1.6배이지만, 전체적으로 저농도화된다. 노즐 내의 유속을 제어함으로써, 가스의 분해를 제어하는 것이 가능하게 되고, 처리 기판 상에 퇴적된 막의 조성을 원하는 값으로 제어하는 것이 가능하게 된다.
불활성 가스는, 제2 캐리어 가스 공급관(234b)으로부터 제4 매스 플로우 컨트롤러(241d), 제7 배관(250g), 제6 밸브(243f), 제8 배관(250h), 배관(400a), 제2 노즐(233b)의 하단측으로부터 제2 가스 공급 구멍(248b)을 통해서 처리실(201)에 공급되고, 가스 배기관(231), 밸브(314)를 통해서 배기 배관(315)으로 배기된다. 이에 의해, 퍼지가 행하여져 제2 노즐(233b)의 내벽을 청정하게 유지할 수 있다. 이때, 제2 노즐(233b)의 압력은 처리실 내(201)의 압력보다도 높게 한다.
클리닝 가스는, 클리닝 가스 공급관(234c), 제5 매스 플로우 컨트롤러(241e), 제9 배관(250i), 제7 밸브(243g), 제8 배관(250h), 배관(400a)을 통해서 제2 노즐(233b)에 공급되고, 배관(400b), 제10 배관(250j), 밸브(316)를 통해서 배기 배관(315)으로 배기된다. 이에 의해, 제2 노즐(233b) 내에 퇴적된 막은, 제2 가스 공급계로부터 공급되는 클리닝 가스, 예를 들어 불소에 의해 제거된다. 그때, 처리실(201)의 압력을 노즐(233b) 내의 압력보다도 높게 함으로써, 처리실(201) 내의 퇴적 막에 에칭 대미지를 부여하지 않고 노즐 내부만 퇴적막이 제거되기 때문에, 파티클 발생의 리스크를 대폭 저감하는 것이 가능하게 된다.
(1-4) 보트
반응관(203) 내의 중앙부에는, 복수 매의 웨이퍼(200)를 다단으로 동일 간격으로 적재하는 보트(217)가 설치되어 있고, 이 보트(217)는, 도시하지 않은 보트 엘리베이터 기구에 의해 반응관(203)에 출입할 수 있도록 되어 있다. 또한, 처리의 균일성을 향상시키기 위해서 보트(217)를 회전하기 위한 보트 회전 기구(267)가 설치되어 있고, 보트 회전 기구(267)를 구동함으로써, 보트 지지대(218)에 지지된 보트(217)를 회전하도록 되어 있다.
(1-5) 컨트롤러
이어서, 제어부(제어 수단)인 컨트롤러에 대해서 도 7을 사용해서 설명한다. 도 7은 실시예에 관한 기판 처리 장치의 컨트롤러를 도시하는 블록도이다. 컨트롤러(281)는, 제1 내지 제5 매스 플로우 컨트롤러(241a, 241b, 241c, 241d, 241e)의 유량 조정, 제1 내지 제7 밸브(243a, 243b, 243c, 243d, 243e, 243f, 243g)의 개폐 동작, 밸브(314, 316)의 개폐 및 압력 조정 동작, 히터(207)의 온도 조정, 진공 펌프(246)의 기동·정지, 보트 회전 기구(267)의 회전 속도 조절, 보트 승강 기구의 승강 동작 제어가 행하여진다.
도 7에 나타내고 있는 바와 같이, 제어부(제어 수단)인 컨트롤러(281)는, CPU(Central Processing Unit)(281a), RAM(Random Access Memory)(281b), 기억 장치(281c), I/O 포트(281d)를 구비한 컴퓨터로서 구성되어 있다. RAM(281b), 기억 장치(281c), I/O 포트(281d)는, 내부 버스(281e)를 통해서, CPU(281a)와 데이터 교환 가능하도록 구성되어 있다. 컨트롤러(281)에는, 예를 들어 터치 패널, 외부 기억 장치(283) 등으로서 구성된 입출력 장치(282)가 접속되어 있다.
기억 장치(281c)는, 예를 들어 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성되어 있다. 기억 장치(281c) 내에는, 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 수순이나 조건 등이 기재된 프로세스 레시피 등이, 판독 가능하게 저장되어 있다. 또한, 프로세스 레시피는, 후술하는 기판 처리 공정에서의 각 수순을 컨트롤러(281)에 실행시켜, 소정의 결과를 얻을 수 있도록 조합된 것이다. 또한, RAM(281b)은, CPU(281a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 유지되는 메모리 영역(워크에리어)으로서 구성되어 있다.
I/O 포트(281d)는, 상술한 매스 플로우 컨트롤러(241a, 241b, 241c, 241d, 241e), 밸브(243a, 243b, 243c, 243d, 243e, 243f, 243g), 압력 센서(245), 밸브(314, 316), 진공 펌프(246), 히터(207), 회전 기구(267), 보트 엘리베이터(121) 등에 접속되어 있다.
CPU(281a)는, 기억 장치(281c)로부터 제어 프로그램을 판독해서 실행함과 함께, 입출력 장치(282)로부터의 조작 커맨드의 입력 등에 따라서 기억 장치(281c)로부터 프로세스 레시피를 판독하도록 구성되어 있다. 그리고, CPU(281a)는, 판독한 프로세스 레시피의 내용에 따르도록, 매스 플로우 컨트롤러(241a, 241b, 241c, 241d, 241e)에 의한 각종 가스의 유량 조정 동작, 밸브(243a, 243b, 243c, 243d, 243e, 243f, 243g)의 개폐 동작, 밸브(314, 316)의 개폐 동작 및 밸브(314, 316)에 의한 압력 센서(245)에 기초하는 압력 조정 동작, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 진공 펌프(246)의 기동 및 정지, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(121)에 의한 보트(217)의 승강 동작 등을 제어하도록 구성되어 있다.
또한, 컨트롤러(281)는, 전용의 컴퓨터로서 구성되어 있는 경우에 한하지 않고, 범용의 컴퓨터로서 구성되어 있어도 된다. 예를 들어, 상술한 프로그램을 저장한 외부 기억 장치(예를 들어, 자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)(283)를 준비하여, 이러한 외부 기억 장치(283)를 사용해서 범용의 컴퓨터에 프로그램을 인스톨하거나 함으로써, 본 실시예에 관한 컨트롤러(281)를 구성할 수 있다. 또한, 컴퓨터에 프로그램을 공급하기 위한 수단은, 외부 기억 장치(283)를 통해서 공급하는 경우에 제한하지 않는다. 예를 들어, 인터넷이나 전용 회선 등의 통신 수단을 사용하여, 외부 기억 장치(283)를 통하지 않고 프로그램을 공급하도록 해도 된다. 또한, 기억 장치(281c)나 외부 기억 장치(283)는, 컴퓨터 판독 가능한 기록 매체로서 구성된다.
(2) 기판 처리 공정
이어서, 반도체 제조 장치로서의 기판 처리 장치(1)를 사용하여, 반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 기판 상에 막을 형성하는 처리(이하, 성막 처리라고도 함)의 시퀀스 예에 대해서 설명한다. 여기에서는, 기판으로서의 웨이퍼(200)에 대하여, 제1 처리 가스(원료 가스)와 제2 처리 가스(반응 가스)를 교대로 공급함으로써, 웨이퍼(200) 상에 막을 형성하는 예에 대해 설명한다. 이하, 원료 가스로서 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스를 사용하고, 반응 가스로서 오존(O3) 가스를 사용하여, 웨이퍼(200) 상에 실리콘 산화막(SiO2막, 이하, SiO막이라고도 함)을 형성하는 예에 대해서 설명한다. 이 기판 처리 공정은, 예를 들어 반도체 장치를 제조하기 위한 일 공정이다. 또한, 이하의 설명에서, 기판 처리 장치(1)를 구성하는 각 부의 동작이나 처리는, 컨트롤러(280)에 의해 제어된다.
기판 처리 공정에 대해 도 8을 사용해서 설명한다. 도 8은 실시예에 관한 기판 처리 공정을 나타내는 흐름도이다. 본 실시 형태에서의 성막 처리에서는, 처리실(201) 내의 웨이퍼(200)에 대하여 HCDS 가스를 공급하는 공정과, 처리실(201) 내로부터 HCDS 가스(잔류 가스)를 제거하는 공정과, 처리실(201) 내의 웨이퍼(200)에 대하여 O3 가스를 공급하는 공정과, 처리실(201) 내로부터 O3 가스(잔류 가스)를 제거하는 공정을 비동시로 행하는 사이클을 소정 횟수(1회 이상) 행함으로써, 웨이퍼(200) 상에 SiO막을 형성한다.
또한, 본 명세서에서 「기판」이라는 말을 사용한 경우도, 「웨이퍼」라는 말을 사용한 경우와 동의이다.
(기판 반입 공정 S102)
복수 매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차지)되면, 도 1에 도시한 바와 같이, 복수 매의 웨이퍼(200)를 지지한 보트(217)는, 보트 엘리베이터(121)에 의해 들어 올려져 처리실(201) 내에 반입(보트 로드)된다. 이 상태에서, 시일 캡(219)은 O링(220)을 개재해서 반응관(203)의 하단을 시일한 상태가 된다.
(성막 공정 S104)
어떤 성막 조건(온도, 시간 등) 하에 안정되면, 다음의 3개의 스텝, 즉, 스텝 1 내지 3을 순차 실행한다.
또한, 스텝 1 내지 3의 동안에는, 히터(207)에 의해, 웨이퍼(200)를 소정의 온도로 가열해 둔다.
(스텝 1)
이 스텝에서는, 처리실(201) 내의 웨이퍼(200)에 대하여 HCDS 가스를 공급한다. 원료 공급부(300)로부터 HCDS를 흘리고, 제2 캐리어 가스 공급관(234b)에 캐리어 가스(N2)를 흘린다. 원료 공급부(300)의 제3 밸브(243c), 제4 밸브(243d), 제5 밸브(243e), 제7 밸브(243g), 제8 밸브(243h), 제2 캐리어 가스 공급관(234b)의 제6 밸브(243f) 및 가스 배기관(231)의 밸브(314)를 모두 개방한다. 캐리어 가스는, 제2 캐리어 가스 공급관(234b)으로부터 흘러, 제4 매스 플로우 컨트롤러(241d)에 의해 유량 조정된다. HCDS는, 원료 공급부(300)로부터 유량 조정되어 흘러, 유량 조정된 캐리어 가스를 혼합하여, 제2 노즐(233b)의 하단부에 접속된 제8 배관(250h), 배관(400a)을 통해서 제2 노즐(233b)의 제2 가스 공급 구멍(248b)으로부터 처리실(201) 내에 공급되면서 가스 배기관(231)으로부터 배기된다. 이때, 밸브(314)를 적정하게 조정해서 처리실(201) 내의 압력을 예를 들어 20Pa로 유지한다. 한편, HCDS와 캐리어 가스가 혼합된 혼합 가스는, 제2 노즐(233b)의 단부에 접속된 배관(400b)을 통해서 제10 배관(250j)으로부터 밸브(316)를 통해서 배기된다. 이때, 밸브(316)를 적정하게 조정해서 노즐(233b)의 배기압을 25 내지 50Pa의 범위로 유지한다. 이때 히터(207) 온도는 웨이퍼의 온도가 400 내지 650℃의 범위이며, 예를 들어 630℃가 되도록 설정되어 있다. 또한, 처리실(201) 내의 압력과 노즐(233b)내의 배기압은, 25 내지 100Pa이 바람직하다. 이렇게 압력 조정하면, 노즐(233b) 내의 HCDS의 열분해가 기판 처리 영역에 대향하는 위치에서 동일해지는 것을 시뮬레이션 등으로 알고 있다. 따라서, 각 가스 공급 구멍(248b)으로부터 동일한 유량의 HCDS가 공급된다.
이와 같이, HCDS를 처리실(201) 내에 공급함으로써, 웨이퍼(200) 상의 최표면 상에, 제1층으로서, 예를 들어 1 원자층 미만 내지 수 원자층의 두께의 실리콘(Si) 함유층이 형성된다.
(스텝 2)
제1층이 형성된 후, 원료 공급부(300)의 제3 밸브(243c)를 닫고, HCDS의 공급을 정지한다. 이때 가스 배기관(231)의 밸브(314) 및 제10 배관(250j)의 밸브(316)는, 각각 개방한 채로 두고, 진공 펌프(246)에 의해 처리실(201) 내를 20Pa 이하가 될 때까지 배기하여, 잔류 HCDS 가스를 처리실(201) 내 및 노즐(233b)로부터 배제한다. 이때 N2 등의 불활성 가스를 처리실(201) 내 및 제10 배관(250j)에 공급하면, 더욱 잔류 HCDS 가스를 배제하는 효과가 높아진다.
(스텝 3)
제1 가스 공급부(232)로부터 O3를 흘리고, 제1 캐리어 가스 공급관(234a)에 캐리어 가스(N2)를 흘린다. 제1 가스 공급부(232)의 제1 밸브(243a), 제1 캐리어 가스 공급관(234a)의 제2 밸브(243b)를 모두 개방한다. 이때, 밸브(314)는 개방한 채로 두고, 밸브(316)는 폐쇄한다. 캐리어 가스는, 제1 캐리어 가스 공급관(234a)으로부터 흘러, 제2 매스 플로우 컨트롤러(241b)에 의해 유량 조정된다. O3는 제1 가스 공급부(232)로부터 흘러, 제1 매스 플로우 컨트롤러(241a)에 의해 유량 조정되고, 유량 조정된 캐리어 가스를 혼합하여, 제1 노즐(233a)의 제1 가스 공급 구멍(248a)으로부터 처리실(201) 내에 공급되면서 가스 배기관(231)으로부터 배기된다. 이때의 웨이퍼의 온도가, 스텝 1의 가스의 공급 시와 동일하게 400 내지 650℃의 범위이며, 예를 들어 630℃가 되도록 히터(207)를 설정한다. O3의 공급에 의해, 웨이퍼(200)의 표면에 형성된 Si 함유층과 O3이 반응하여, 웨이퍼(200) 상에 제2층으로서 SiO2층(이하, SiO층이라고도 함)이 형성된다. 제2층이 형성된 후, 제1 가스 공급부(232)의 제1 밸브(243a), 및, 제1 캐리어 가스 공급관(234a)의 제2 밸브(243b)를 폐쇄하고, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 잔류 O3 가스를 배제한다. 이때, N2 등의 불활성 가스를 반응관(203) 내에 공급하면, 더욱 잔류 O3 가스를 처리실(201)로부터 배제하는 효과가 높아진다.
상술한 스텝 1 내지 3을 비동시로, 즉, 동기시키지 않고 행하는 사이클을 소정 횟수(n회) 행함으로써, 웨이퍼(200) 상에, 소정 조성 및 소정 막 두께의 SiO2막(이하, SiO막이라고도 함)을 형성할 수 있다. 또한, 상술한 사이클은 복수 회 반복하는 것이 바람직하다. 즉, 상술한 사이클을 1회 행할 때 형성되는 제2층(SiO층)의 두께를 소정의 막 두께보다도 작게 하여, 제2층(SiO층)을 적층함으로써 형성되는 SiO막의 막 두께가 소정의 막 두께로 될 때까지, 상술한 사이클을 복수 회 반복하는 것이 바람직하다.
(기판 반출 공정 S106)
이어서, SiO2막이 형성된 웨이퍼(200)가 적재된 보트(217)를 처리실(201)로부터 반출한다.
(3) 클리닝 공정
다음으로 처리실 내의 클리닝 및 노즐 클리닝에 대해서 도 4, 도 12, 도 13a, 도 13b, 도 13c 및 도 14를 사용해서 설명한다.
(3-1) 처리실 내와 노즐 내를 각각 개별로 클리닝하는 공정
(3-1-1) 처리실 내의 클리닝 공정
도 12에 도시한 바와 같이, 처리실 내의 클리닝 공정은, 이하와 같이 행한다.
온도·압력 조정 공정(스텝 S202): 제2 캐리어 가스 공급관(234b)의 제6 밸브(243f)를 열고, 불활성 가스(N2)를 제8 배관(250h), 배관(400a)을 통해서 제2 노즐(233b)의 제2 가스 공급 구멍(248b)으로부터 처리실(201) 내에 공급하여, 처리실(201) 내의 압력을 고압(내지 대기압)으로 한다.
클리닝 공정(스텝 S204): 클리닝 가스 공급관(234c)의 제7 밸브(243h)를 열고, 클리닝 가스를 제8 배관(250h), 배관(400a)을 통해서 제2 노즐(233b)의 제2 가스 공급 구멍(248b)으로부터 처리실(201) 내에 공급하면서 가스 배기관(231)으로부터 배기한다. 이때, 밸브(316)를 폐쇄하고, 밸브(314)를 개방한다. 또한, 불활성 가스는, 제2 노즐(233b)에 공급해도 하지 않아도 된다.
퍼지 공정(스텝 S206): 제1 캐리어 가스 공급관(234a)의 제2 밸브(243b)를 열고, 불활성 가스(N2)를 제4 배관(250d)을 통해서 제1 노즐(233a)의 제1 가스 공급 구멍(248a)으로부터 처리실(201) 내에 공급하면서 가스 배기관(231)으로부터 배기한다. 제2 캐리어 가스 공급관(234b)의 제6 밸브(243f)를 열고, 불활성 가스(N2)를 제8 배관(250h), 배관(400a)을 통해서 제2 노즐(233b)의 제2 가스 공급 구멍(248b)으로부터 처리실(201) 내에 공급하면서 가스 배기관(231)으로부터 배기한다. 이때, 밸브(316) 및 밸브(314)를 개방한다.
(3-1-2) 노즐 내의 클리닝 공정
노즐 내의 클리닝 공정은 이하의 3개의 예 중 어느 하나로 행한다. 노즐 내의 클리닝 공정은 처리실 내의 클리닝 공정의 전에 실시해도, 나중에 실시해도 된다.
(3-1-2-1) 제1 예
도 13a에 도시한 바와 같이, 제1 예의 노즐 클리닝의 공정은, 이하와 같이 행한다.
온도·압력 조정 공정(스텝 S302): 제2 캐리어 가스 공급관(234b)의 제6 밸브(243f)를 열고, 불활성 가스(N2)를 제8 배관(250h), 배관(400a)을 통해서 제2 노즐(233b)의 제2 가스 공급 구멍(248b)으로부터 처리실(201) 내에 공급하면서 가스 배기관(231)으로부터 배기해서, 처리실(201) 내의 압력을 고압(내지 대기압)으로 한다. 이때, 밸브(316)를 폐쇄하고, 밸브(314)를 개방한다.
노즐 클리닝 공정(스텝 S304): 클리닝 가스 공급관(234c)의 제7 밸브(243h)를 열고, 클리닝 가스를 제8 배관(250h), 배관(400a)을 통해서 제2 노즐(233b)에 공급하면서 배관(400b)을 통해서 제10 배관(250j)으로부터 배기한다. 이때, 제2 노즐(233b)로부터 클리닝 가스가 처리실(201) 내에 공급되는 것을 방지하기 위해서, 제1 캐리어 가스 공급관(234a)의 제2 밸브(243b)를 열어, 불활성 가스(N2)를 제4 배관(250d)을 통해서 제1 노즐(233a)의 제1 가스 공급 구멍(248a)으로부터 처리실(201) 내에 공급하고, 밸브(314)를 폐쇄하고, 밸브(316)를 개방한다.
퍼지 공정(스텝 S306): 제1 캐리어 가스 공급관(234a)의 제2 밸브(243b)를 열고, 불활성 가스(N2)를 제4 배관(250d)을 통해서 제1 노즐(233a)의 제1 가스 공급 구멍(248a)으로부터 처리실(201) 내에 공급하면서 가스 배기관(231)으로부터 배기한다. 제2 캐리어 가스 공급관(234b)의 제6 밸브(243f)를 열고, 불활성 가스(N2)를 제8 배관(250h), 배관(400a)을 통해서 제2 노즐(233b)로부터 처리실(201)에 공급하면서 배관(400b)을 통해서 제10 배관(250j)으로부터 배기한다. 이때, 밸브(316) 및 밸브(314)를 연다.
(3-1-2-2) 제2 예
도 13b에 도시한 바와 같이, 제2 예의 노즐 클리닝의 공정은, 이하와 같이 행한다.
온도·압력 조정 공정(스텝 S302): 제2 캐리어 가스 공급관(234b)의 제6 밸브(243f)를 열고, 불활성 가스(N2)를 제8 배관(250h), 배관(400a)을 통해서 제2 노즐(233b)의 제2 가스 공급 구멍(248b)으로부터 처리실(201) 내에 공급하면서 가스 배기관(231)으로부터 배기해서, 처리실(201) 내의 압력을 고압(내지 대기압)으로 한다. 이때, 밸브(316)를 폐쇄하고, 밸브(314)를 연다.
제1 노즐 클리닝 공정(스텝 S303): 클리닝 가스 공급관(234c)의 제7 밸브(243h)를 열어, 클리닝 가스를 제8 배관(250h), 배관(400a)을 통해서 제2 노즐(233b) 및 배관(400b)에 공급한다. 이때, 밸브(314) 및 밸브(316)를 폐쇄한다. 또한, 제1 캐리어 가스 공급관(234a)의 제2 밸브(243b)를 열어, 불활성 가스(N2)를 제4 배관(250d)을 통해서 제1 노즐(233a)의 제1 가스 공급 구멍(248a)으로부터 처리실(201) 내에 공급해도 되고 하지 않아도 된다.
제2 노즐 클리닝 공정(스텝 S304): 클리닝 가스 공급관(234c)의 제7 밸브(243h)를 열어, 클리닝 가스를 제8 배관(250h), 배관(400a)을 통해서 제2 노즐(233b)에 공급하면서 배관(400b)을 통해서 가스 배기관(231)으로부터 배기한다. 이때, 밸브(316)를 열고, 밸브(314)를 폐쇄한다. 또한, 제1 캐리어 가스 공급관(234a)의 제2 밸브(243b)를 열어, 불활성 가스(N2)를 제4 배관(250d)을 통해서 제1 노즐(233a)의 제1 가스 공급 구멍(248a)으로부터 처리실(201) 내에 공급해도 되고 하지 않아도 된다.
(3-1-2-3) 제3 예
도 13c에 도시한 바와 같이, 제3 예의 노즐 클리닝의 공정은, 이하와 같이 행한다.
온도 조정 공정(스텝 S301): 제2 캐리어 가스 공급관(234b)의 제6 밸브(243f)를 열어, 불활성 가스(N2)를 제8 배관(250h), 배관(400a)을 통해서 제2 노즐(233b)의 제2 가스 공급 구멍(248b)으로부터 처리실(201) 내에 공급해서 처리실(201) 내의 압력을 불활성 가스 분위기로 한다.
노즐 클리닝 공정(스텝 S304): 클리닝 가스 공급관(234c)의 제7 밸브(243h)를 열어, 클리닝 가스를 제8 배관(250h), 배관(400a)을 통해서 제2 노즐(233b)에 공급하면서 배관(400b)을 통해서 가스 배기관(231)으로부터 배기한다. 이때, 제2 노즐(233b)로부터 클리닝 가스가 처리실(201) 내에 공급되는 것을 방지하기 위해서, 제1 캐리어 가스 공급관(234a)의 제2 밸브(243b)를 열어, 불활성 가스(N2)를 제4 배관(250d)을 통해서 제1 노즐(233a)의 제1 가스 공급 구멍(248a)으로부터 처리실(201) 내에 공급하고, 밸브(314)를 폐쇄하고, 밸브(316)를 연다.
(3-2) 처리실 및 노즐 양쪽을 클리닝하는 공정
도 14에 도시한 바와 같이, 처리실 및 노즐 클리닝의 공정은, 이하와 같이 행한다.
온도 조정 공정(스텝 S402): 제2 캐리어 가스 공급관(234b)의 제6 밸브(243f)를 열어, 불활성 가스(N2)를 제8 배관(250h), 배관(400a)을 통해서 제2 노즐(233b)의 제2 가스 공급 구멍(248b)으로부터 처리실(201) 내에 공급해서 처리실(201) 내의 압력을 불활성 가스 분위기로 한다.
클리닝 공정(스텝 S404): 클리닝 가스 공급관(234c)의 제7 밸브(243h)를 열어, 클리닝 가스를 제8 배관(250h), 배관(400a)을 통해서 제2 노즐(233b)의 제2 가스 공급 구멍(248b)으로부터 처리실(201) 내에 공급하면서 가스 배기관(231)으로부터 배기한다. 이때, 밸브(316) 및 밸브(314)를 연다. 또한, 불활성 가스는 제2 노즐(233b)에 공급해도 하지 않아도 되지만, 캐리어 가스로서 공급하는 것이 더 좋다.
퍼지 공정(스텝 S406): 제1 캐리어 가스 공급관(234a)의 제2 밸브(243b)를 열어, 불활성 가스(N2)를 제4 배관(250d)을 통해서 제1 노즐(233a)의 제1 가스 공급 구멍(248a)으로부터 처리실(201) 내에 공급하면서 가스 배기관(231)으로부터 배기한다. 제2 캐리어 가스 공급관(234b)의 제6 밸브(243f)를 열어, 불활성 가스(N2)를 제8 배관(250h), 배관(400a)을 통해서 제2 노즐(233b)의 제2 가스 공급 구멍(248b)으로부터 처리실(201) 내에 공급하면서 가스 배기관(231)으로부터 배기한다. 이때, 밸브(316) 및 밸브(314)를 연다.
(3-3) 상기 (3-1)의 처리실 내와 노즐 내를 각각 개별로 클리닝하는 공정과, 상기 (3-2)의 처리실 및 노즐의 양쪽을 클리닝하는 공정을 조합해도 된다.
또한, 본 실시 형태에서의 클리닝 가스는, 불소(F), 염소(Cl), 브롬(Br), 요오드(I)로 이루어지는 군에서 선택되는 적어도 하나의 할로겐 원소를 포함하는 할로겐 원소 함유 가스, 또는 이들 할로겐 함유 가스의 조합이 바람직하다. 또한, 클리닝 가스는 이들 할로겐 원소의 조합이어도 상관없다. 또한, 이들 클리닝 가스와 수소(H2), 질소(N2) 등의 조합이어도 상관없다.
<변형예 1>
변형예 1에 관한 제2 노즐의 다른 형상 및 가스 공급 방향에 대해 도 9a, 도 9b를 사용해서 설명한다. 도 9a 및 도 9b는 I자 형상의 제2 노즐을 도시하는 도면이다.
제2 노즐(233b)의 형상은 U자 형상에 한정되는 것은 아니며, 도 9a 및 도 9b에 도시하는 바와 같이 I자 형상이어도 된다. 이 경우, 도 9a에 도시한 바와 같이, 가스 공급계(배관(400a))의 접속처는 노즐의 하단측이고, 가스 배기계(배관(400b))의 접속처는 노즐의 상단이어도 되고, 도 9b에 도시한 바와 같이, 가스 공급계(배관(400a))의 접속처는 노즐의 상단측이고, 가스 배기계(배관(400b))의 접속처는 노즐의 하단측이어도 된다.
<변형예 2>
변형예 2에 관한 제2 노즐의 다른 형상 및 가스 공급 방향에 대해 도 10a 내지 도 10f를 사용해서 설명한다. 도 10a 내지 도 10f는 N자 형상의 제2 노즐을 도시하는 도면이다.
제2 노즐(233b)의 형상은 U자 형상에 한정되는 것은 아니며, 도 10a 내지 도 10f에 도시한 바와 같이, 제2 노즐(233b)의 형상은 N자 형상이어도 된다. 제2 가스 공급 구멍(248b)은 도 10a 및 도 10d에 도시한 바와 같이, 제2 노즐(233b)의 가스 공급계측의 하부로부터 상부에 걸쳐 설치해도 되고, 도 10b 및 도 10e에 도시한 바와 같이, 제2 노즐(233b)의 가스 배기계측의 하부로부터 상부에 걸쳐 설치되어도 된다. 또한, 도 10c 및 도 10f에 도시한 바와 같이, 제2 노즐(233b)의 가스 공급계측과 가스 배기계측과의 사이의 비스듬히 연신되는 부분의 상부로부터 하부에 걸쳐 설치되어도 된다. 또한, 도 10a 내지 도 10c에 도시한 바와 같이, 가스 공급계(배관(400a))의 접속처는 노즐의 하단측이고, 가스 배기계(배관(400b))의 접속처는 노즐의 상단이어도 되고, 도 10d 내지 도 10f에 도시한 바와 같이, 가스 공급계(배관(400a))의 접속처는 노즐의 상단측이고, 가스 배기계(배관(400b))의 접속처는 노즐의 하단측이어도 된다.
본 발명의 실시 형태에 따르면, 다음의 (a) 내지 (g)에 기재된 효과 중 적어도 1개 이상의 효과를 발휘한다.
(a) 원료 가스의 노즐(가스 공급관) 내에서의 열분해를 제어하는 것이 가능하게 되어, 상기 원료 가스가 열분해되어 생성되는 기판 처리에 기여하는 가스의 농도를, 기판이 배치되는 기판 처리 영역과 면하는 노즐 내에서 (거의) 동일하게 할 수 있어, 처리실에 복수 매 설치된 기판의 퇴적막의 균일성을 개선하는 것이 가능하게 된다.
(b) 노즐 내의 배기 압력 및 가스 유속을 제어함으로써, 성막에 기여하는 가스를 기판 처리 영역에 대향하는 노즐 내에서 균등하게 할 수 있다.
(c) 노즐 내 압력과 처리실 내 압력의 비를 1:1 내지 5:1로 조정함으로써, 노즐 내의 원료 가스의 열분해를 기판 처리 영역과 면하는 노즐 내에서 균등하게 할 수 있다.
(d) 또한, 구멍 직경 및/또는 구멍의 수를 조정함으로써, 노즐로부터 공급되는 가스의 유량을 균등하게 할 수 있다.
(e) 노즐 내의 불활성 가스 퍼지나 가스 클리닝으로 노즐 내벽을 청정하게 유지함으로써 파티클을 억제하는 것이 가능하게 된다. 이에 의해, 고집적 반도체 디바이스의 성능 및 수율 향상에 공헌하는 것이 가능하게 된다.
(f) 노즐 내벽에 부착되는 막을 가스 클리닝으로 효율적으로 제거할 수 있으므로, 노즐에 형성된 구멍의 눈막힘을 억제할 수 있다.
(g) 처리실 내의 압력 및 처리실 내에 공급되는 가스의 유량을 조정함으로써, 가스 클리닝으로 노즐 내벽에 부착되는 막만을 제거할 수 있고, 또한 노즐 및 처리실의 내벽에 부착된 막의 양쪽을 제거할 수 있다. 이에 의해, 노즐 및 처리실의 내벽에 부착된 막의 막종이나 막 두께에 의하지 않고 효율적으로 제거할 수 있다.
또한, 본 실시 형태에서 기판 처리 장치는, 일례로서, 반도체 장치(IC)의 제조 방법에 있어서의 처리 공정을 실시하는 반도체 제조 장치로서 구성되어 있다. 또한, 기판 처리 장치로서 기판에 산화, 확산 처리나 CVD 처리 등을 행하는 종형의 장치(이하, 간단히 처리 장치라고 함)에 적용된다.
1 : 처리 장치 200 : 기판(웨이퍼)
201 : 처리실 202 : 처리 로
231 : 가스 배기관 233b : 제2 노즐
234b : 제2 캐리어 가스 공급관 248b : 제2 가스 공급 구멍
250h : 제8 배관 250j : 제10 배관
280 : 컨트롤러 315 : 배기 배관
316 : 밸브 400a, 400b : 배관

Claims (14)

  1. 처리실 내에 가스를 공급하는 가스 공급계와,
    상기 처리실 내의 가스를 배출하는 배기계와,
    복수의 구멍을 갖고, 상기 처리실 내에 상기 구멍을 통하여 가스를 공급하고, 상기 처리실 내에 배치되어있고, 한쪽 끝은 상기 가스 공급계에 접속되고, 다른 쪽 끝은 배관을 통해서 상기 처리실의 밖에서 상기 배기계에 접속되는 가스 공급관을 포함하는 기판 처리 장치.
  2. 제1항에 있어서,
    상기 처리실로부터 상기 배기계까지의 경로에 컨덕턴스를 조정하는 것이 가능한 조정 밸브를 더 포함하고, 상기 조정 밸브는, 상기 가스 공급관 내의 가스 유속 또는 배기 압력을, 상기 조정 밸브의 개방도에 의해 제어하도록 구성되어 있는, 기판 처리 장치.
  3. 제1항에 있어서,
    상기 처리실 내를 가열하는 가열 수단을 더 포함하고,
    상기 가열 수단에 의해 원료 가스가 열분해되어 생성되는 기판 처리에 기여하는 가스의 농도가, 기판이 배치되는 기판 처리 영역에서 동등하게 제어되도록 구성되어 있는, 기판 처리 장치.
  4. 제1항에 있어서,
    상기 가스 공급계로부터 상기 가스 공급관 내에 클리닝 가스를 미리 정해진 양 공급하고, 상기 클리닝 가스의 처리실에의 공급을 억제하면서 상기 클리닝 가스를 상기 배관을 통해서 상기 배기계에 배기함으로써, 상기 가스 공급관 내벽에 부착된 막만을 제거하도록 구성되어 있는, 기판 처리 장치.
  5. 제4항에 있어서,
    상기 처리실의 압력을 상기 가스 공급관 내의 압력보다도 높게 함으로써, 상기 클리닝 가스의 상기 처리실에의 공급이 억제되도록 구성되어 있는, 기판 처리 장치.
  6. 제5항에 있어서,
    상기 가스 공급관과는 상이한 캐리어 가스 공급관을 더 포함하고,
    상기 캐리어 가스 공급관으로부터 불활성 가스를 상기 처리실 내에 공급함으로써, 상기 클리닝 가스의 상기 처리실에의 공급이 억제되도록 구성되어 있는, 기판 처리 장치.
  7. 제1항에 있어서,
    상기 구멍은, 상기 가스 공급관의 측벽에 등간격으로 복수 형성되고, 상기 구멍의 직경은, 0.1 내지 5mm의 사이의 임의의 값으로 선택되고, 상기 구멍의 수는 처리 대상의 기판의 수의 3배 이하의 사이의 임의의 수인, 기판 처리 장치.
  8. 제1항에 있어서,
    상기 가스 공급관의 형상은, U자 형상이며, 상기 복수의 구멍은, 상기 가스 공급계 측 및 상기 배기계 측 중, 어느 한쪽에 형성되도록 구성되어 있는, 기판 처리 장치.
  9. 제1항에 있어서,
    상기 가스 공급관의 형상은, I자 형상이며, 상기 가스 공급관과 상기 가스 공급계 사이의 접속점은, 가스 공급관의 상측 단 및 하측 단 중 임의의 곳에 설치되도록 구성되어 있는, 기판 처리 장치.
  10. 제1항에 있어서,
    상기 가스 공급관의 형상은 N자 형상이며, 상기 복수의 구멍은, 상기 가스 공급계 측, 상기 배기계 측 및 상기 가스 공급계 측도 배기계 측도 아닌 중심측 중, 적어도 하나의 부분에 형성되도록 구성되어 있는, 기판 처리 장치.
  11. 제4항에 있어서,
    상기 클리닝 가스는, 불소(F), 염소(Cl), 브롬(Br), 요오드(I)로 이루어지는 군에서 선택되는 적어도 하나의 할로겐 원소를 포함하는, 기판 처리 장치.
  12. 처리실 내에 가스를 공급하는 가스 공급계와, 상기 처리실 내의 가스를 배출하는 배기계와, 복수의 구멍을 갖고, 상기 처리실 내에 상기 구멍을 통하여 가스를 공급하고, 상기 처리실 내에 배치되어있고, 한쪽 끝은 상기 가스 공급계에 접속되고, 다른 쪽 끝은 배관을 통해서 상기 처리실의 밖에서 상기 배기계에 접속되는 가스 공급관을 포함하는 기판 처리 장치의 상기 처리실 내에, 기판을 반입하는 공정과,
    상기 구멍으로부터 상기 처리실 내에 원료 가스를 공급하면서, 상기 배관을 통해서 상기 배기계에 상기 원료 가스를 배기하고, 상기 처리실에 상기 원료 가스를 공급하는 공정,
    을 포함하는 반도체 장치의 제조 방법.
  13. 처리실 내에 가스를 공급하는 가스 공급계와, 상기 처리실 내의 가스를 배출하는 배기계와, 복수의 구멍을 갖고, 상기 처리실 내에 상기 구멍을 통하여 가스를 공급하고, 상기 처리실 내에 배치되어있고, 한쪽 끝은 상기 가스 공급계에 접속되고, 다른 쪽 끝은 배관을 통해서 상기 처리실의 밖에서 상기 배기계에 접속되는 가스 공급관을 포함하는 기판 처리 장치의 상기 처리실 내에, 기판을 반입하는 단계와,
    상기 구멍으로부터 원료 가스를 공급하면서 상기 배관을 통해서 상기 배기계에 상기 원료 가스를 배기하고, 상기 처리실에 상기 원료 가스를 공급하는 단계,
    를 컴퓨터에 실행시키는, 컴퓨터 판독 가능한 기록 매체에 기록된 프로그램.
  14. 복수의 구멍을 갖고, 처리실 내에 상기 구멍을 통하여 가스를 공급하고, 상기 처리실 내에 배치되어있고, 한쪽 끝은 가스 공급계에 접속되고, 다른 쪽 끝은 배관을 통해서 상기 처리실의 밖에서 배기계에 접속되어 있는 가스 공급관.
KR1020160096030A 2015-07-29 2016-07-28 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램 및 가스 공급관 KR101929096B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2015-149214 2015-07-29
JP2015149214A JP6560924B2 (ja) 2015-07-29 2015-07-29 基板処理装置、半導体装置の製造方法及びプログラム

Publications (2)

Publication Number Publication Date
KR20170015215A true KR20170015215A (ko) 2017-02-08
KR101929096B1 KR101929096B1 (ko) 2018-12-13

Family

ID=57882234

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160096030A KR101929096B1 (ko) 2015-07-29 2016-07-28 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램 및 가스 공급관

Country Status (3)

Country Link
US (1) US10655218B2 (ko)
JP (1) JP6560924B2 (ko)
KR (1) KR101929096B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180120586A (ko) * 2017-04-27 2018-11-06 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 인젝터 내의 파티클 제거 방법 및 기판 처리 방법

Families Citing this family (296)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6560924B2 (ja) * 2015-07-29 2019-08-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
JP2018053299A (ja) * 2016-09-28 2018-04-05 株式会社日立国際電気 基板処理装置、及び断熱配管構造
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6804270B2 (ja) * 2016-11-21 2020-12-23 東京エレクトロン株式会社 基板処理装置、および基板処理方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
JP6807275B2 (ja) * 2017-05-18 2021-01-06 東京エレクトロン株式会社 成膜方法および成膜装置
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2019180905A1 (ja) * 2018-03-23 2019-09-26 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6920262B2 (ja) * 2018-09-20 2021-08-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11338226B2 (en) * 2019-01-31 2022-05-24 Irritec Usa, Inc. Filter pipe fitting
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7365946B2 (ja) * 2020-03-18 2023-10-20 東京エレクトロン株式会社 基板処理装置及びクリーニング方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022118628A (ja) * 2021-02-02 2022-08-15 東京エレクトロン株式会社 処理装置及び処理方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011054590A (ja) 2009-08-31 2011-03-17 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4699805A (en) * 1986-07-03 1987-10-13 Motorola Inc. Process and apparatus for the low pressure chemical vapor deposition of thin films
US5427625A (en) * 1992-12-18 1995-06-27 Tokyo Electron Kabushiki Kaisha Method for cleaning heat treatment processing apparatus
KR970072061A (ko) * 1996-04-16 1997-11-07 김광호 반도체 제조 공정에 사용되는 확산로
JPH10149988A (ja) 1996-11-15 1998-06-02 Kokusai Electric Co Ltd ドープド半導体膜形成方法及びその装置
JP2973971B2 (ja) * 1997-06-05 1999-11-08 日本電気株式会社 熱処理装置及び薄膜の形成方法
US6773687B1 (en) * 1999-11-24 2004-08-10 Tokyo Electron Limited Exhaust apparatus for process apparatus and method of removing impurity gas
US6905963B2 (en) * 2001-10-05 2005-06-14 Hitachi Kokusai Electric, Inc. Fabrication of B-doped silicon film by LPCVD method using BCI3 and SiH4 gases
JP2003303023A (ja) * 2002-02-07 2003-10-24 Tokyo Electron Ltd 処理装置及び処理装置の保守方法
AU2003244018A1 (en) * 2002-07-05 2004-01-23 Tokyo Electron Limited Method of cleaning substrate-processing device and substrate-processing device
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060062913A1 (en) * 2004-09-17 2006-03-23 Yun-Ren Wang Process for depositing btbas-based silicon nitride films
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP5157100B2 (ja) 2006-08-04 2013-03-06 東京エレクトロン株式会社 成膜装置及び成膜方法
JP4899744B2 (ja) * 2006-09-22 2012-03-21 東京エレクトロン株式会社 被処理体の酸化装置
JP5198106B2 (ja) * 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
TW200951762A (en) * 2008-06-03 2009-12-16 Asustek Comp Inc Input device and operation method of computer
JP2009295729A (ja) * 2008-06-04 2009-12-17 Hitachi Kokusai Electric Inc 基板処理装置
JP5226438B2 (ja) * 2008-09-10 2013-07-03 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
JP2011146458A (ja) * 2010-01-13 2011-07-28 Univ Of Miyazaki プラズマ加工装置
JP5610438B2 (ja) * 2010-01-29 2014-10-22 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5573666B2 (ja) * 2010-12-28 2014-08-20 東京エレクトロン株式会社 原料供給装置及び成膜装置
JP2013138180A (ja) * 2011-12-01 2013-07-11 Mitsubishi Electric Corp 半導体ウェハの熱処理方法、太陽電池の製造方法及び熱処理装置
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
JP5887962B2 (ja) 2012-01-31 2016-03-16 東京エレクトロン株式会社 成膜装置
WO2013146595A1 (ja) * 2012-03-30 2013-10-03 株式会社日立国際電気 基板処理装置、基板処理装置の制御方法、基板処理装置の保守方法及び記録媒体
JP6101113B2 (ja) 2012-03-30 2017-03-22 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置並びにプログラム
JP2015010247A (ja) * 2013-06-27 2015-01-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び基板処理プログラム
JP6001015B2 (ja) * 2014-07-04 2016-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP6578243B2 (ja) * 2015-07-17 2019-09-18 株式会社Kokusai Electric ガス供給ノズル、基板処理装置、半導体装置の製造方法およびプログラム
JP6560924B2 (ja) * 2015-07-29 2019-08-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
JP6839672B2 (ja) * 2018-02-06 2021-03-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011054590A (ja) 2009-08-31 2011-03-17 Hitachi Kokusai Electric Inc 基板処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180120586A (ko) * 2017-04-27 2018-11-06 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 인젝터 내의 파티클 제거 방법 및 기판 처리 방법

Also Published As

Publication number Publication date
JP6560924B2 (ja) 2019-08-14
JP2017033974A (ja) 2017-02-09
US10655218B2 (en) 2020-05-19
US20170029945A1 (en) 2017-02-02
KR101929096B1 (ko) 2018-12-13

Similar Documents

Publication Publication Date Title
KR101929096B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램 및 가스 공급관
JP5921168B2 (ja) 基板処理装置
JP5087657B2 (ja) 半導体装置の製造方法及び基板処理装置
JP2017069230A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2016174158A (ja) 基板処理装置および半導体装置の製造方法
JP5902073B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5963456B2 (ja) 半導体装置の製造方法、基板処理装置、及び基板処理方法
JP5787488B2 (ja) 半導体装置の製造方法及び基板処理装置
JP2010034406A (ja) 基板処理装置及び半導体装置の製造方法
JP5208294B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
US20120108077A1 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP2014067796A5 (ko)
JP2012216696A (ja) 基板処理装置及び半導体装置の製造方法
KR20180021142A (ko) 가스 공급부, 기판 처리 장치 및 반도체 장치의 제조 방법
JP2012114340A (ja) 基板処理装置および半導体装置の製造方法
JP5888820B2 (ja) 基板処理装置、クリーニング方法及び半導体装置の製造方法
JP2010141076A (ja) 基板処理装置及び半導体装置の製造方法
JP2009224588A (ja) 基板処理装置
KR102165710B1 (ko) 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
KR102224294B1 (ko) 반도체 장치의 제조 방법, 표면 처리 방법, 기판 처리 장치, 및 프로그램
JP2011054590A (ja) 基板処理装置
JP2012138530A (ja) 基板の製造方法、半導体デイバスの製造方法及び基板処理装置
JP2012069844A (ja) 半導体装置の製造方法および基板処理装置
JP2015164192A (ja) 基板処理装置および半導体装置の製造方法
JP2012049349A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right