KR20090097765A - 포토리소그래피에 의한 콘택 홀 및 트렌치를 위한 이중 패터닝 방법 - Google Patents

포토리소그래피에 의한 콘택 홀 및 트렌치를 위한 이중 패터닝 방법 Download PDF

Info

Publication number
KR20090097765A
KR20090097765A KR1020080102004A KR20080102004A KR20090097765A KR 20090097765 A KR20090097765 A KR 20090097765A KR 1020080102004 A KR1020080102004 A KR 1020080102004A KR 20080102004 A KR20080102004 A KR 20080102004A KR 20090097765 A KR20090097765 A KR 20090097765A
Authority
KR
South Korea
Prior art keywords
resist pattern
layer
substrate
negative
resist
Prior art date
Application number
KR1020080102004A
Other languages
English (en)
Other versions
KR101079014B1 (ko
Inventor
수 펭-쳉
첸 지안-홍
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20090097765A publication Critical patent/KR20090097765A/ko
Application granted granted Critical
Publication of KR101079014B1 publication Critical patent/KR101079014B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Abstract

리소그래피 패터닝 발명은 기판 위에 복수의 개구부를 포함하는 제 1 레지스트 패턴을 형성하는 단계; 상기 기판 위의 상기 제 1 레지스트 패턴의 개구부 내에 적어도 하나의 개구부를 포함하는 제 2 레지스트 패턴을 형성하는 단계; 및 상기 제 1 레지스트 패턴 하부의 상기 기판이 노출되도록 상기 제 1 레지스트 패턴을 제거하는 단계를 포함한다.

Description

포토리소그래피에 의한 콘택 홀 및 트렌치를 위한 이중 패터닝 방법{DOUBLE PATTERNING STRATEGY FOR CONTACT HOLE AND TRENCH IN PHOTOLITHOGRAPHY}
본 발명은 반도체 공정에서의 패터닝 기술에 관한 것으로, 더욱 상세하게는 포토리소그래피에 의한 콘택 홀과 트렌치를 위한 이중 패터닝 방법에 관한 것이다.
본 발명은 다음의 미국 특허 출원과 관계되며, 그 전체가 본 명세서에 참조로서 통합된다. 2007년 11월 30일에 제출된 미국특허 출원번호 11/948,444(발명자:Feng-Cheng Hsu와 Chun-Kuang Chen, 발명의 명칭:DOUBLE PATTERNING STRATEGY FOR CONTACT HOLE AND TRENCH IN PHOTOLITHOGRAPY).
반도체 기술은 예를 들어, 65 나노미터, 45 나노미터 그리고 보다 작은 형태의 크기로 계속해서 발전하고 있다. 이렇게 보다 작은 형태의 크기를 생산하기 위하여 사용되는 패터닝된 포토레지스트(레지스트)층은 일반적으로 높은 종횡비(aspect ratio)를 갖는다. 요구되는 CD(Critical Dimension)를 유지시키는 것은 여러 가지 이유, 특히 높은 종횡비를 가지는 레지스트층으로 인하여 매우 어려울 수 있다. 보다 작은 치수(dimensions)를 가지는 다양한 구조물을 형성하는 이중 패터닝 공정이 개시되었다. 그러나 전통적인 이중 패터닝 공정은 높은 제조 단가 및 낮은 작업 처리량을 가지는 다수의 식각 공정을 수반한다.
하기의 본 발명은 다양한 실시예의 서로 다른 특징을 나타내기 위하여, 많은 다른 실시예 또는 예를 제공하는 것으로 해석됨이 당연하다. 구체적인 구성요소와 장치들의 예들은 본 발명을 단순화하여 아래와 같이 설명된다. 물론, 이러한 실시예들은 단지 예시에 불과하며, 본 발명을 한정하려는 것은 아니다. 예를 들어, 아래의 설명에서 제 2 요소 위에 제 1 요소를 형성하는 것은 상기 제 1 및 제 2 요소가 직접 접하는 실시예를 포함할 수 있으며, 또한 상기 제 1 및 제 2 요소가 직접적으로 접합하지 않도록 상기 제 1 및 제 2 요소 사이에 추가적인 요소가 형성된 실시예를 포함할 수 있다. 그 외에도, 본 발명은 다양한 예에서 참조부호를 반복할 수 있다. 이런 반복은 본 발명을 단순화하고 명료하게 하기 위함이고, 기술된 다양한 실시예 및/또는 구성 간의 관계를 그 자체로서 나타내는 것은 아니다.
도 1 내지 도 8은 다양한 제조 단계에 있는 집적 회로 소자(반도체 소자)의 일 실시예를 보여주는 단면도이다. 도 9는 리소그래피 패터닝 방법(200)의 일 실시예를 보여주는 순서도이다. 도 1 내지 도 9를 참조하여, 리소그래피 패터닝을 위한 방법(200)이 설명된다.
도 1 및 도 9를 참조하면, 상기 방법(200)은 기판(110) 위에 하나 이상의 하부 물질층을 형성하는 202 단계를 시작할 수 있다. 상기 기판(110)은 실리콘을 포함한다. 상기 기판(110)은 선택적으로 다이아몬드 또는 게르마늄과 같은 다른 적절한 반도체 요소; 탄화규소(silicon carbide), 비소화인듐(indium arsenide) 또는 인듐 인화물(indium phosphide)과 같은 적절한 반도체 화합물; 또는 실리콘 게르마늄 탄화물(silicon germanium carbide), 갈륨 비소 인화물(gallium arsenic phosphide) 또는 갈륨 인듐 인화물(gallium indium phosphide)과 같은 적절한 혼합물로 이루어질 수 있다. 선택적으로, 상기 기판(110)은 TFT-LCD 장치를 위한 유리 기판과 같은 비반도체 물질, 또는 포토마스크(마스크)를 위한 석영유리(fused quartz) 또는 플루오르화 칼슘(calcium fluoride)을 포함할 수 있다. 상기 기판(110)은 다양한 도핑된 영역, 유전 구조물들 및 다층 연결배선(multilevel interconnects)을 포함할 수 있다. 일 실시예에서, 기판(110)은 시모스펫(CMOSFET), 이미지 센서, 메모리 셀 및/또는 용량 소자(capacitive element)와 같은 다양한 마이크로전자 부품을 위하여 다양하게 도핑된 구조물들을 포함한다. 다른 실시예에서, 상기 기판(110)은 다양한 마이크로전자 부품이 각각 결합되고 격리되도록 구성된 도전성 물질 구조물들 및 유전성 물질 구조물들을 포함한다. 다른 실시예에서, 상기 기판(110)은 상면에 형성된 하나 이상의 물질층(material layer)을 포함한다.
하부 물질층은 다양한 애플리케이션에서 다른 기능을 위한 다수의 막을 포함할 수 있다. 일 실시예에서, 물질층(112)은 기판(110) 위에 형성된다. 상기 물질층(112)은 유전 물질을 포함한다. 일례로서, 상기 물질층(112)은 산화규소(silicon oxide) 및/또는 저 유전-상수(low-k) 물질을 포함한다. 다른 예에서, 상기 물질층(112)은 실리콘, 폴리-실리콘, 유전물질, 도전물질 및 이것들의 조합을 포함한다. 물질층(112)은 약 100 옹스트롬(angstrom) 내지 약 9000 옹스트롬 범위의 두께 를 가질 수 있다. 일례로, 상기 물질층(112)은 약 1000 옹스트롬 내지 3500 옹스트롬 범위의 두께를 가진다. 일 실시예에서, 상기 물질층(112)은 층간 절연(interlayer dielectric) 또는 금속층간 절연(inter-metal dielectric)을 위한 유전 물질을 포함한다. 상기 유전 물질은 산화규소(silicon oxide) 및/또는 대략 4 보다 작은 유전체 상수를 가지는 저 유전-상수(low-k) 유전물질을 포함한다. 예를 들어, 상기 저 유전-상수 물질은 FSG(fluorinated silica glass), CDO(carbon doped silicon oxide), 블랙 다이아몬드(미국 캘리포니아주 산타 클라라의 Applied Materials사의 등록상표), 제어로젤(Xerogel), 에어로젤(Aerogel), 비정질 불화 탄소(amorphous fluorinated carbon), 패럴린(Parylene), BCB(bis-benzocyclobutenes), SiLK(미국 미시간주 미드랜드의 Dow Chemical사 제품), 폴리이미드(polyimide) 및/또는 다른 적당한 다공성의 중합체 물질일 수 있다. 유전막은 스핀온(spin-on) 코팅 또는 화학기상증착(CVD)을 포함하는 적절한 공정에 의해 형성될 수 있다.
마스크층(114)은 추가적으로 물질층(112) 위에 형성될 수 있다. 본 실시예에서, 상기 마스크층(114)은 화학기상증착(CVD)과 같은 적절한 공정에 의해 형성된 질화규소(silicon nitride), 실리콘 산질화물(silicon oxynitride) 또는 다른 적절한 물질막을 포함한다. 일례로서, 화학기상증착(CVD)에서 질화규소 물질층을 형성하는데 사용되는 전구체(precursor)는 HCD(Hexachlorodisilane) Si2Cl6; DSC(Dichlorosilane) SiH2Cl2; BTBAS(Bis-TertiaryButylAmino-Silane) C8H22N2Si; 및 DS(Disilane) Si2H6을 포함한다. 상기 마스크층(114)은 약 50 옹스트롬 내지 약 1000 옹스트롬 범위의 두께를 가질 수 있다. 물질층(114)은 상기 물질층(112) 및/또는 상기 기판(110)을 패터닝하기 위한 이후의 식각 공정 동안에 하드 마스크로서의 기능을 한다.
추가적으로, 물질층(116)이 리소그래피 노광 공정 동안에 반사율을 감소하기 위하여 마스크층(114) 위에 형성될 수 있으며, 또한 ARC(anti-reflective coating)층 또는 BARC(bottom anti-reflective coating)층으로 언급될 수 있다. 일례로, 상기 BARC층은 스핀-코팅 기술에 의해 형성된 유기(organic) BARC 물질을 포함할 수 있다. 상기 물질층(116)은 약 50 옹스트롬 내지 약 2000 옹스트롬 범위의 두께를 가질 수 있다. 상기 BARC층(116)은 마스크층(114)이 마스크 및 ARC(anti-reflective coating)층으로서의 기능을 수행하는 경우 생략될 수 있다. 다양한 실시예에서, 제공되는 물질층 또는 이들의 서브셋(subset)의 다른 조합은 다양한 애플리케이션에서 하부 물질층으로 사용될 수 있다.
도 1 및 도 9를 참조하면, 상기 방법은 반도체 소자(100) 위에 포지티브 톤 레지스트(포지티브 레지스트) 패턴을 형성하는 204 단계를 진행한다. 상기 포지티브 레지스트는 노출된 영역이 제거되는 특징이 있다. 상기 형성의 일례로서, 포지티브 레지스트층은 반도체 소자(100) 위에 형성된 후, 제 1 리소그래피 공정에 의해 패터닝되어 도 1에 도시된 바와 같이 상기 포지티브 레지스트 패턴(118)을 형성한다. 상기 포지티브 패턴(118)은 복수의 포지티브 레지스트 구조물 및 상기 포지 티브 레지스트 구조물에 의해 형성된 복수의 개구부를 포함하고, 상기 개구부 내의 하부 물질층 일부는 노출된다. 상기 제 1 리소그래피 공정은 리소그래피 시스템 및 제 1 마스크를 사용한다. 상기 포지티브 레지스트 패턴(118)의 개구부는 제 1 마스크에서 소정의 IC 패턴에 따라 형성된다. 일 실시예에서, 상기 포지티브 레지스트 구조물들은 제 1 레지스트 패턴내 하나의 구조물에서 인접한 구조물까지의 간격으로 정의되는 피치(pitch)를 가진다. 상기 피치는 약 50 nm 내지 약 200 nm 범위일 수 있다. 일례로, 상기 피치는 대략 100 nm 이다. 상기 제 1 레지스트 패턴(118)은 약 500 옹스트롬 내지 5000 옹스트롬 범위의 두께를 가질 수 있다. 다양한 예에서, 상기 제 1 레지스트 패턴(118)은 약 500 옹스트롬 내지 약 3000 옹스트롬 범위 또는 약 500 옹스트롬 내지 약 1500 옹스트롬 범위의 두께를 가질 수 있다. 상기 포지티브 레지스트 패턴(118)을 형성하는데 사용되는 제 1 리소그래피 공정은 레지스트 코팅, 노광, 포스트-노광(post-exposure) 베이킹 및 현상을 포함할 수 있다. 다른 실시예에서, 상기 리소그래피 공정은 추가적으로 소프트 베이킹, 마스크 정렬 및/또는 하드 베이킹을 포함할 수 있다. 예를 들어, 상기 노광 공정은 상기 마스크를 통과하는 방사빔 아래에 반도체 소자(100)를 노출시킴에 따라 수행될 수 있다.
도 2 및 도 9를 참조하면, 상기 방법(200)은 소자(100) 위에 네거티브 레지스트층(120)을 형성하는 206 단계를 진행한다. 일례로, 상기 네거티브 레지스트층(120)은 기판(110)상에 위치한 하부 물질층의 상부와 포지티브 레지스트 패턴(118)에 의해 형성된 개구부 내부에 형성된다. 네거티브 레지스트층(120)이 상기 포지티브 레지스트 패턴(118)을 차폐하지 않도록, 상기 네거티브 레지스트층(120) 의 상면은 포지티브 레지스트 패턴의 상면보다 낮게 형성되어 소자(100) 위에 코팅된다. 일 실시예에서, 코팅되는 상기 네거티브 레지스트는 상기 네거티브 레지스트층의 상면이 상기 포지티브 레지스트 패턴(118)의 상면 위에 형성되지 않도록 보다 높은 표면장력이 적용된다. 다른 실시예에서, 스핀-온(spin-on) 코팅의 속도는 상기 포지티브 레지스트 패턴이 상기 네거티브 레지스트층(120)에 의해 차폐되지 않도록 보다 높은 수준으로 조정된다. 다른 실시예에서, 상기 네거티브 레지스트층(120)은 상기 포지티브 레지스트 패턴(118)이 용해되지 않는 용제에 용해되는 것이 선택된다. 예를 들어, 상기 네거티브 레지스트층은 물에 용해되지만, 상기 포지티브 레지스트 패턴은 물에서 용해되지 않고 그 대신에 유기 용제에 용해된다.
도 3 및 도 9를 참조하면, 상기 방법(200)은 제 2 리소그래피 공정에 의해 네거티브 레지스트층(120)을 패터닝하는 208 단계를 진행한다. 네거티브 레지스트층(120)은 복수의 네거티브 구조물들과 복수의 개구부를 가지는 네거티브 레지스트 패턴(혼돈을 피하기 위해 동일한 120의 참조번호를 부여함)으로 패터닝된다. 일례로, 상기 네거티브 레지스트 구조물들은 주기적으로 구성되며, 약 50 nm 내지 200 nm 범위의 피치를 가질 수 있다. 개구부 내의 하부 물질층은 네거티브 레지스트 패턴이 노출됨에 따라 차폐되지 않는다. 일 실시예에서, 각각의 네거티브 레지스트 구조물은 상기 포지티브 레지스트 패턴의 하나의 구조물을 좌우 방향으로 에워쌈으로써 배치된다. 제 2 리소그래피 공정에서, 소정의 제 2 패턴을 가지는 제 2 마스크 및 제 2 리소그래피 시스템을 사용함으로써, 상기 네거티브 레지스트층(120)은 노광된다. 상기 제 2 리소그래피 공정은 포스트-노광 베이킹, 현상 및 하드 베이킹 을 더 포함할 수 있다.
도 4 및 도 9를 참조하면, 상기 방법(200)은 포지티브 레지스트 패턴(118)을 제거하는 210 단계를 진행한다. 일 실시예에서, 상기 네거티브 레지스트가 용해되지 않지만 상기 포지티브 레지스트는 용해되는 적당한 용제가 선택될 수 있다. 상기 용제가 적용됨에 따라, 포지티브 레지스트 패턴(118)은 용해되지만 네거티브 레지스트 패턴은 잔존한다. 일례로, 상기 포지티브 레지스트 패턴(118)을 선택적으로 제거하도록 유기 용제가 반도체 소자(100)에 적용된다. 다른 예로서, 상기 포지티브 레지스트 패턴(118)은 208 단계에서 수행되는 현상 공정에 의해 제거될 수 있다. 이 예에서, 210 단계는 생략되고 상기 포지티브 레지스트 패턴(118)을 제거하는 단계는 208 단계에서 달성된다. 따라서 포지티브 레지스트 패턴(118)을 제거하는 단계와 네거티브 레지스트층을 패터닝하는 단계는 하나의 단계에서 수행된다. 상기 포지티브 레지스트 패턴(118)의 제거 후, 도 4에 도시된 바와 같이 다양한 개구부(121)가 네거티브 레지스트층(120) 내에 형성된다. 상기 개구부(121)는 제 1 마스크 및 제 2 마스크에 의해 집합적으로 정의되며, 상술한 다양한 공정에 따라 형성된다.
네거티브 레지스트 패턴(120)은 이중 패터닝 구조를 이용할 수 있도록, 제 1 레지스트 패턴(118)에 대응하여 구성된다. 일 실시예에서, 상기 네거티브 레지스트 패턴 내에 형성된 개구부(121)는 피치 분할(pitch splitting)을 달성하도록 구성될 수 있다. 일례로, 상기 피치는 대략 100 nm 일 수 있다. 다른 예에서, 상기 포지티브 및 네거티브 레지스트 패턴(118, 120)은 포지티브 또는 네거티브 레지스트 패턴 의 절반 크기의 분할 피치를 갖도록 구성된다. 개구부(121)에 의해 정의되는 피치는 이등분되어 최소 크기로 축소된다. 따라서 상기 형성된 개구부(121)는 다른 애플리케이션에서 다양한 콘택 홀 또는 트렌치를 추가로 형성하는 데 이용된다.
도 5 및 도 9를 참조하면, 상기 방법(200)은 하부 물질층을 식각하는 212 단계를 진행한다. 개구부(121) 내의 마스크층(114)은 네거티브 레지스트층(120)에 의해 차폐되지 않아 식각 공정 동안에 제거되고, 네거티브 레지스트층(120)에 형성된 상기 개구부(121)는 마스크층(112)으로 전사된다. 상기 식각 공정은 마스크층(114)이 네거티브 레지스트층(120)의 식각 속도(etch rate) 보다 더 빠른 식각 속도를 가지도록 선택된다. 이에 따라, 개구부(121) 내의 마스크층은 식각 공정 동안에 실질적으로 제거된다. 일례로, 상기 개구부(121) 내의 BARC층(116)은 이 단계의 식각 공정 동안에 제거된다. 다른 예에서, 상기 개구부(121) 내의 BARC층(116)은 포지티브 레지스트 패턴(118)을 제거하는 용제에 의해 210 단계에서 제거된다.
도 6 및 도 9를 참조하면, 상기 방법(200)은 네거티브 레지스트층(120)에 형성된 개구부 내의 하부 물질층을 식각한 후, 상기 네거티브 레지스트층(120)을 제거하는 214 단계를 진행할 수 있다. 네거티브 레지스트층(120)을 제거하는 상기 214 단계는 본 기술분야에서 알려진 습식 스트리핑(wet stripping) 또는 플라즈마 애싱을 통해 실시될 수 있다. 예를 들어, 산소 플라즈마 애싱이 상기 네거티브 레지스트층(120)을 제거하는데 사용될 수 있다. 추가적으로, 상기 BARC 물질층(116)도 같은 플라즈마 애싱 공정에 의해 동시에 제거될 수 있다.
도 7의 단면도에 도시된 바와 같이, 유전층(112)은 패턴화된 마스크층(114) 을 하드 마스크층(114)으로 이용하여 식각되어, 형성된 개구부를 마스크층(114)부터 유전층(112)까지 전사할 수 있다. 이에 따라, 유전층(112)은 복수의 트렌치 또는 콘택 홀을 형성하도록 패터닝된다. 상기 식각 공정은 건식 식각을 포함한다. 상기 식각 공정은 선택적으로 또는 추가적으로 습식 식각을 포함할 수 있다. 상기 마스크층(114)은 이 단계에서 하드 마스크로서 사용되므로, 유전층(112)의 식각 내성에 비해 더 높은 식각 내성을 갖는다. 상기 건식 식각은 HBr, Cl2, SF6, O2, Ar, 및/또는 He와 같은 적당한 식각 가스를 활용할 수 있다. 상기 마스크층(114)은 상기 식각 공정 동안에 부분적으로 소멸될 수 있다. 남아 있는 마스크층(114)은 도 8에 도시된 바와 같이, 이후에 제거된다.
도 1 내지 도 9를 참조하여 상술한 상기 방법은 본 발명의 다양한 양태에 따라 구성된 이중 패터닝 공정을 제공한다. 이 방법은 하부 물질층 또는 기판에 이중 노광 및 단일 식각 공정을 실시하여, 이에 따라 제조비용을 절약하고 CD 변형을 최소화한다. 게다가, 다른 장점들이 다른 실시예 및/또는 애플리케이션에서 나타날 수 있다. 일례로서, 두개의 인접한 개구부의 위치가 바뀌는 상기 포지티브 및 네거티브 레지스트 패턴 간의 오버레이 오류(overlay error)가 야기될 수 있다. 그러나 콘택 홀과 트렌치와 관련된 상기 개구부(121)의 치수는 상기 방법(200)이 실시됨에 따라 유지된다. 종래의 이중 패터닝 공정에서 발생하는 오버레이 에러와 연관된 콘택 홀 또는 금속 배선과 같은 IC 구조물의 치수 변화는 제거된다. 다른 예에서, 단지 1회의 식각 공정이 하부 물질층을 식각하는데 사용되기 때문에, 제조비용이 감 소된다. 전통적인 이중 패터닝 및 이중 식각 방법과 비교하면, 제조상의 작업 처리량과 제품의 품질이 개선된다. 다른 예에서, 보다 식각 내성이 높은 마스크층(114)이 적절히 선택되어지면, 상기 방법(200)은 보다 두꺼운 막을 식각할 수 있다.
상기 방법(200)의 다른 실시예(제 2 실시예)는 도 9 내지 도 13을 참조하여, 하기와 같이 설명된다. 이 실시예에의 방법(200)도 제 1 실시예의 단계와 실질적으로 유사하게, 기판(110) 위에 하부 물질층을 형성하는 202 단계와 상기 하부 물질층 위에 포지티브 레지스트 패턴(118)을 형성하는 204 단계를 진행한다.
도 10 및 도 9를 참조하면, 상기 방법(200)은 기판 위에 네거티브 레지스트층(120)을 형성하는 206 단계를 진행한다. 일례로, 상기 네거티브 레지스트층은 도 10에 도시된 바와 같이 상기 기판 상의 하부 물질층 위에 형성되며, 추가적으로 상기 포지티브 레지스트 패턴(118) 위에 형성된다. 하부 물질층 위에 형성된 네거티브 물질층(120)은 포지티브 레지스트 패턴(118)보다 더 두꺼운 두께를 가지고, 이에 따라 포지티브 레지스트층(118)도 차폐한다. 일례로, 상기 포지티브 레지스트 패턴(118) 위에 형성된 상기 네거티브 레지스트층은 약 50 옹스트롬 내지 약 100 옹스트롬 범위의 두께를 가진다. 소자(100) 위에 실질적인 수평면을 가지는 네거티브 레지스트층(120)을 형성하기 위하여 스핀-온 코팅 공정이 실시된다. 일 실시예에의 210 단계에서 사용되는 식각액에 있어서, 상기 네거티브 레지스트층(120)과 상기 포지티브 레지스트 패턴은 포지티브 레지스트가 네거티브 레지스트층의 식각 내성보다 더 낮은 식각 내성을 가지도록 선택된다. 일 실시예에서, 상기 포지티브 레지스트 패턴(118)은 CA(chemical amplifier) 레지스트를 포함한다. 상기 CA 레지 스트는 리소그래피 노광 공정 동안에 산을 형성하여 분해시킬 수 있는 PAG(photoacid generator)를 포함한다. 보다 많은 산이 촉매 반응의 결과로 발생될 수 있다. 또 다른 실시예에서, 상기 네거티브 레지스트는 포지티브 레지스트 패턴으로부터 해리된 포토-생성된 산(photo-generated acid)에 불활성인 물질로 적절하게 선택된다.
도 11 및 도 9를 참조하면, 상기 방법(200)은 제 2 리소그래피 공정에 의해 네거티브 레지스트층을 패터닝하는 208 단계를 진행한다. 네거티브 레지스트층(120)은 복수의 네거티브 레지스트 구조물들을 가지는 네거티브 레지스트 패턴을 형성하도록 패터닝된다. 또한, 네거티브 레지스트 패턴(120)은 하부 물질층을 차폐하지 않은 복수의 개구부를 포함한다. 네거티브 레지스트층(120)은 제 2 마스크층 및 리소그래피 시스템을 사용함으로써 광학적으로 노광된다. 제 2 리소그래피 공정은 포스트-노광(post-exposure) 베이킹, 현상 및 하드 베이킹을 더 포함할 수 있다.
도 12 및 도 9를 참조하면, 상기 방법(200)은 포지티브 레지스트 패턴(120)을 제거하는 210 단계를 진행한다. 식각 공정에서 식각액이 소자(100)에 적용된다. 식각액은 포지티브 레지스트 물질과 네거티브 레지스트 물질 간에 서로 다른 식각 내성을 갖는다. 일례로, 상기 네거티브 레지스트는 상기 식각액에 대해 상기 포지티브 레지스트의 식각 내성보다 더 높은 식각 내성을 갖는다. 따라서 상기 식각 공정을 수행함에 따라, 포지티브 레지스트 패턴(118)은 실질적으로 제거되고 상기 네거티브 레지스트 패턴(120)은 실질적으로 남게 된다. 일례로서, 상기 포지티브 레 지스트 패턴(118)을 선택적으로 제거하는 유기 식각액이 반도체 소자(110)에 적용된다. 예를 들면, 상기 네거티브 레지스트 패턴(120)의 개구부 내의 상기 BARC층은 상기 식각 공정에 의해 부분적으로 또는 완전하게 제거된다. 상기 포지티브 레지스트 패턴(118)이 제거된 후, 도 12에 도시된 바와 같이 다양한 개구부(121)가 네거티브 레지스트층(120)에 형성된다. 상기 개구부(121)는 제 1 및 제 2 마스크의 패턴에 따라 네거티브 레지스트 패턴 안에 형성되고, 다양한 실시예에서 콘택 홀 또는 트렌치를 형성하도록 설계된다.
제 2 실시예에서 상기 방법(200)은 네거티브 레지스트층에 개구부(121)를 형성한 후, 제 1 실시예에서 설명한 다른 공정 단계를 더 포함할 수 있다. 예를 들어, 상기 방법(200)은 제 1 실시예의 212 단계와 실질적으로 유사한 도 13에 도시된 마스크층(114)을 식각하는 212 단계를 더 포함한다. 상기 방법(200)은 또한 제 1 실시예에 설명된 214 단계와 실질적으로 유사한, 도 6에 도시된 바와 같이 네거티브 레지스트층(120)을 제거하는 214 단계를 포함할 수 있다. 다른 예에서, 상기 방법(200)은 마스크층(114) 아래의 유전층(112)을 식각하는 단계와 이후 마스크층(114)을 제거하는 단계를 더 포함할 수 있다.
리소그래피 패터닝 방법(200)의 다양한 실시예가 소개되었고 설명되었다. 다른 변형, 수정, 부가 및 확장이 본 발명의 영역으로부터 벗어나지 않고 이용될 수 있다. 일례로서, 복수의 콘택 홀이 포지티브 및 네거티브 레지스트 패턴에 의해 정의되고, 유전층(112)에 형성된다. 선택적으로, 복수의 트렌치가 포지티브 및 네거티브 레지스트 패턴에 의해 형성될 수 있으며, 유전층(112)에 형성될 수 있다. 다 른 예에서, 상기 BARC층 및/또는 마스크층이 제거될 수 있다. 다른 예에서, 상기 포지티브 및 네거티브 레지스트 패턴이 직접 상기 기판(110) 위에 형성된다.
방사빔은 KrF(Krypton Fluoride) 엑시머 레이저의 248nm 빔 또는 ArF(Argon Fluoride) 엑시머 레이저의 193nm 빔과 같은 UV 또는 EUV일 수 있다. 상기 리소그래피 공정은 축상(on-axis), 비축상(off-axis), 4극자(quadripole) 또는 쌍극자(dipole) 노광 기술과 같은, 다른 노광 모드 또는 기술을 활용할 수 있다. 상기 광학 노광 공정은 선택적으로 마스크리스(maskless) 리소그래피, 전자빔 묘화(electron writing), 이온빔 묘화(ion-beam writing) 및 분자 임프린트(molecular imprint) 기술과 같은 다른 적당한 방법으로 실시 또는 교체될 수 있다. 다른 예에서, 상기 방법(200)에서 사용된 상기 제 1 및 제 2 마스크는 다른 마스크 기술을 활용할 수 있다. 예를 들어, 상기 제 1 패턴(또는 제 2 마스크 패턴)이 PSM(Phase Shift Mask)으로 형성될 수 있다. 상기 PSM은 바이너리(binary) 마스크 보다 더 좋은 패턴을 제공할 수 있다.
일 실시예에서, 상기 포지티브 레지스트 패턴은 CA 레지스트를 포함한다. 다른 실시예에서, 상기 네거티브 레지스트층은 산에 대해 불활성인 물질을 포함한다. 또 다른 실시예에서, 상기 네거티브 레지스트층은 환상 합성 고무 수지 (cyclized synthetic rubber resin), 비스-아크릴아미드(bis-acrylamide) 및 방향족 용제(aromatic solvent)를 포함한다. 다른 실시예에서, 상기 포지티브 레지스트는 선택적으로 노볼락 수지(novolac resin), PAC(photoactive compound)로서 DNQ(diazonaphthoquinone) 및 용제로서 PGME(또는 PGMEA, 에틸 락테이트)를 포함한 다. 다른 실시예에서, 상기 네거티브 레지스트는, 네거티브 레지스트가 상기 포지티브 레지스트보다 큰 식각 내성을 가지도록 실리콘이 함유된 물질을 포함한다.
일 실시예에서 언급한 대로, 상기 포지티브 레지스트 패턴을 제거하는 210 단계는 208 단계와 통합될 수 있다. 예를 들어, 상기 네거티브 레지스트층을 현상하는 현상액이 동시에 상기 포지티브 레지스트 패턴을 제거하도록 조정되거나 선택될 수 있다.
다른 예에서, 상기 방법(200)은 상기 네거티브 레지스트층(120)을 형성하기 전에 상기 포지티브 레지스트 패턴(118)에 적용되는 레지스트 경화(또는 레지스트 고정) 공정을 포함한다. 상기 경화 공정은 상기 포지티브 레지스트 패턴(118)을 경화하기 위한 것으로, 이후 상기 네거티브 레지스트 패턴을 형성하기 위한 리소그래피 공정시 포지티브 레지스트 패턴이 변형되는 것을 방지할 수 있다. 일 실시예에서, 상기 경화 공정은 열경화를 포함한다. 상기 경화 공정은 UV 경화, 이온 주입 충격(ion implant bombard), 전자빔 처리 또는 이것들의 조합을 포함할 수 있다. 상기 포지티브 레지스트 구조물들을 보호하고 강도를 강화시키기 위해, 상기 포지티브 레지스트 패턴(118)은 선택적으로 또는 추가적으로 코팅될 수 있다. 예를 들어, 상기 포지티브 레지스트 패턴(118)은 폴리머 물질로 코팅될 수 있다. 또 다른 예에서, BARC 물질이 상기 포지티브 레지스트 구조물들(118)을 코딩하는데 사용된다. 상기 코팅된 BARC층은 약 50 옹스트롬 내지 약 500 옹스트롬 범위의 두께를 가질 수 있다.
이와 같이, 본 발명은 리소그래피 패터닝 방법을 제공한다. 상기 방법은 기 판 위에 복수의 개구부를 포함하는 제 1 레지스트 패턴을 형성하는 단계; 상기 기판 위의 상기 제 1 레지스트 패턴의 개구부 내에 적어도 하나의 개구부를 포함하는 제 2 레지스트 패턴을 형성하는 단계; 및 상기 제 1 레지스트 패턴 하부의 상기 기판이 노출되도록 상기 제 1 레지스트 패턴을 제거하는 단계를 포함한다.
상기 본 발명에서, 상기 제 1 레지스트 패턴은 포지티브 톤(positive tone) 레지스트 물질을 포함할 수 있고, 상기 제 2 레지스트 패턴은 네거티브 톤(negative tone) 레지스트 물질을 포함할 수 있다. 상기 제 1 레지스트 패턴은 식각 공정에 있어서, 상기 제 2 레지스트 패턴의 식각 속도 보다 더 빠른 식각 속도를 가질 수 있다. 상기 제 1 레지스트 패턴을 제거하는 단계는 상기 제 2 레지스트 패턴에 대하여 상기 제 1 레지스트 패턴을 선택적으로 제거하는 식각 공정을 적용하는 단계를 포함할 수 있다. 상기 제 1 레지스트 패턴을 제거하는 단계는 상기 제 1 레지스트 패턴이 용해되도록 용제를 적용하는 단계를 포함할 수 있다. 상기 제 1 레지스트 패턴을 제거하는 단계는 상기 제 1 레지스트 패턴은 용해되고 상기 제 2 레지스트 패턴은 용해되는 않는 용제를 적용하는 단계를 포함할 수 있다. 상기 방법은 상기 제 1 레지스트 패턴을 제거하는 단계 이후에, 상기 제 2 레지스트 패턴의 개구부 내부의 상기 기판을 식각하는 단계를 더 포함할 수 있다. 상기 기판을 식각하는 단계는 상기 기판에 복수의 콘택 홀이 형성되도록 상기 기판을 식각하는 단계를 포함할 수 있다. 상기 기판을 식각하는 단계는 상기 기판에 복수의 트렌치가 형성되도록 상기 기판을 식각하는 단계를 포함할 수 있다.
상기 본 발명은 또한 이중 패터닝 방법의 다른 실시예를 제공한다. 상기 방 법은 기판 위에 복수의 포지티브 레지스트 구조물들을 포함하는 포지티브 레지스트 패턴을 형성하는 단계; 상기 기판 위의 상기 복수의 포지티브 레지스트 구조물들에 의해 형성된 개구부 내에, 상기 포지티브 레지스트 패턴의 상면을 실질적으로 노출시키는 네거티브 레지스트층을 형성하는 단계; 상기 네거티브 레지스트층을 노광하여 상기 기판 상에 노광되지 않은 복수의 네거티브 레지스트 구조물들을 한정하는 단계; 및 상기 포지티브 레지스트 패턴이 제거되도록 용제를 적용하는 단계를 포함한다.
이 방법에서, 상기 용제를 적용하는 단계는 상기 포지티브 레지스트 구조물들 및 상기 노광되지 않은 네거티브 레지스트 구조물이 제거되도록 현상액을 적용하는 단계를 포함할 수 있다. 상기 네거티브 레지스트층을 형성하는 단계는 스핀-온 코팅 공정을 적용하는 단계를 포함할 수 있다. 상기 스핀-온 코팅을 적용하는 단계는 상기 네거티브 레지스트층이 상기 포지티브 레지스트 패턴보다 얇게 형성되도록 스핀 속도를 조정하는 단계를 포함할 수 있다. 상기 스핀-온 코팅을 적용하는 단계는 상기 네거티브 레지스트층이 상기 포지티브 레지스트 패턴보다 얇게 형성되도록 조정된 표면장력으로 네거티브 레지스트층을 코팅하는 단계를 포함할 수 있다.
상기 본 발명은 또한 이중 패터닝 방법의 다른 실시예를 제공한다. 상기 방법은 기판 위에 포지티브 레지스트 패턴을 형성하는 단계; 상기 기판 및 상기 포지티브 레지스트 패턴 위에 네거티브 레지스트층을 형성하는 단계; 상기 기판 위에 네거티브 레지스트 패턴이 형성되도록 상기 네거티브 레지스트층을 패터닝하는 단 계; 및 상기 포지티브 레지스트 패턴을 선택적으로 제거하여 상기 네거티브 레지스트 패턴에 의해 정의된 다수의 개구부를 형성하는 식각 공정을 적용하는 단계를 포함한다.
다양한 실시예에서, 상기 네거티브 레지스트층을 형성하는 단계는 상기 포지티브 레지스트 패턴의 상면 보다 더 높게 상기 네거티브 레지스트층을 형성하는 단계를 포함할 수 있다. 상기 네거티브 레지스트층을 형성하는 단계는 상기 포지티브 레지스트 패턴 위에 약 50 옹스트롬 내지 약 100 옹스트롬 범위의 두께에 상응하는 상기 네거티브 레지스트층을 형성하는 단계를 포함할 수 있다. 상기 기판은 반도체 물질층을 포함한다. 상기 기판은 상기 반도체 물질층 위에 형성되는 유전층을 더 포함할 수 있다. 상기 방법은 상기 네거티브 레지스트 패턴에 의해 정의된 상기 복수의 개구부를 통해 상기 기판을 식각하는 단계를 더 포함하는 할 수 있다.
이상 이 분야에서 통상의 지식을 가진 자가 상세한 설명을 더욱 잘 이해할 수 있도록 여러 가지 실시예의 특징을 설명하였다. 이 분야에서 통상의 지식을 가진 자는 다른 공정을 설계 또는 변경하기 위한 기초로서, 또한 본 발명과 같은 목적을 수행하기 위한 및/또는 동일한 이점을 달성하기 위한 구성으로서 본 발명을 쉽사리 사용할 수 있음을 알 수 있을 것이다. 또한, 이 분야에서 통상의 지식이 있는 자는 본 발명의 범위와 의도에 벗어나지 않은 균등물을 실현할 수 있을 것이며, 본 발명의 범위와 의도에서 벗어나지 않고 본 발명을 고려하여 다양한 변형, 치환, 변경을 가할 수 있다.
본 발명은 첨부된 도면을 참조하여, 전술한 상세한 설명으로부터 가장 쉽게 이해된다. 실무 관행에 따라, 도면의 다양한 형상은 일정한 비례로 확대 또는 축소된 것은 아니다. 실제로, 도시된 형상의 치수는 설명을 명료하게 하기 위하여 임의적으로 확대 또는 축소될 수 있다.
도 1 내지 도 8은 다양한 제조 단계에 있는 반도체 소자의 일 실시예를 보여주는 단면도이다.
도 9는 리소그래피 패터닝 방법의 일 실시예를 보여주는 순서도이다.
도 10 내지 도 13은 다양한 제조 단계에 있는 반도체 소자의 다른 실시예를 보여주는 단면도이다.

Claims (15)

  1. 기판 위에 복수의 개구부를 포함하는 제 1 레지스트 패턴을 형성하는 단계;
    상기 기판 위의 상기 제 1 레지스트 패턴의 개구부 내에 적어도 하나의 개구부를 포함하는 제 2 레지스트 패턴을 형성하는 단계; 및
    상기 제 1 레지스트 패턴 하부의 상기 기판이 노출되도록 상기 제 1 레지스트 패턴을 제거하는 단계를 포함하는 패터닝 방법.
  2. 제 1 항에 있어서,
    상기 제 1 레지스트 패턴은 포지티브 톤(positive tone) 레지스트 물질을 포함하고, 상기 제 2 레지스트 패턴은 네거티브 톤(negative tone) 레지스트 물질을 포함하는 것을 특징으로 하는 패터닝 방법.
  3. 제 1 항에 있어서,
    상기 제 1 레지스트 패턴은 식각 공정에 있어서, 상기 제 2 레지스트 패턴의 식각 속도 보다 더 빠른 식각 속도를 가지는 것을 특징으로 하는 패터닝 방법.
  4. 제 3 항에 있어서,
    상기 제 1 레지스트 패턴을 제거하는 단계는,
    상기 제 2 레지스트 패턴에 대하여 상기 제 2 레지스트 패턴을 선택적으로 제거하는 식각 공정을 적용하는 단계를 포함하는 것을 특징으로 하는 패터닝 방법.
  5. 제 1 항에 있어서,
    상기 제 1 레지스트 패턴을 제거하는 단계는,
    상기 제 1 레지스트 패턴은 용해되고 상기 제 2 레지스트 패턴은 용해되지 않는 용제를 적용하는 단계를 포함하는 것을 특징으로 하는 패터닝 방법.
  6. 제 1 항에 있어서,
    상기 제 1 레지스트 패턴을 제거하는 단계 이후에,
    상기 기판에 복수의 콘택 홀이 형성되도록 상기 제 2 레지스트 패턴의 개구부 내부의 상기 기판을 식각하는 단계를 더 포함하는 것을 특징으로 하는 패터닝 방법.
  7. 제 1 항에 있어서,
    상기 제 1 레지스트 패턴을 제거하는 단계 이후에,
    상기 기판에 복수의 트렌치가 형성되도록 상기 제 2 레지스트 패턴의 개구부 내부의 상기 기판을 식각하는 단계를 더 포함하는 것을 특징으로 하는 패터닝 방법.
  8. 기판 위에 복수의 포지티브 레지스트 구조물들을 포함하는 포지티브 레지스 트 패턴을 형성하는 단계;
    상기 기판 위의 상기 복수의 포지티브 레지스트 구조물들에 의해 형성된 개구부 내에, 상기 포지티브 레지스트 패턴의 상면을 실질적으로 노출시키는 네거티브 레지스트층을 형성하는 단계;
    상기 네거티브 레지스트층을 노광하여 상기 기판 상에 노광되지 않은 복수의 네거티브 레지스트 구조물들을 한정하는 단계; 및
    상기 포지티브 레지스트 패턴이 제거되도록 용제를 적용하는 단계를 포함하는 패터닝 방법.
  9. 제 8 항에 있어서,
    상기 용제를 적용하는 단계는,
    상기 포지티브 레지스트 구조물들 및 노광되지 않은 네거티브 레지스트 구조물이 제거되도록 현상액을 적용하는 단계를 포함하는 것을 특징으로 하는 패터닝 방법.
  10. 제 8 항에 있어서,
    상기 네거티브 레지스트층을 형성하는 단계는,
    상기 네거티브 레지스트층이 상기 포지티브 레지스트 패턴보다 얇게 형성되도록 스핀 속도를 조정하는 스핀-온(spin-on) 코팅 공정을 적용하는 단계를 포함하는 것을 특징으로 하는 패터닝 방법.
  11. 제 8 항에 있어서,
    상기 네거티브 레지스트층을 형성하는 단계는,
    상기 네거티브 레지스트층이 상기 포지티브 레지스트 패턴보다 더 얇게 형성되도록 조정된 표면장력으로 네거티브 레지스트층을 코팅하는 스핀-온(spin-on) 코팅 공정을 적용하는 단계를 포함하는 것을 특징으로 하는 패터닝 방법.
  12. 기판 위에 포지티브 레지스트 패턴을 형성하는 단계;
    상기 기판 및 상기 포지티브 레지스트 패턴 위에 네거티브 레지스트층을 형성하는 단계;
    상기 기판 위에 네거티브 레지스트 패턴이 형성되도록 상기 네거티브 레지스트층을 패터닝하는 단계; 및
    상기 포지티브 레지스트 패턴을 선택적으로 제거하여 상기 네거티브 레지스트 패턴에 의해 정의된 다수의 개구부를 형성하는 식각 공정을 적용하는 단계를 포함하는 패터닝 방법.
  13. 제 12 항에 있어서,
    상기 네거티브 레지스트층을 형성하는 단계는,
    상기 포지티브 레지스트 패턴의 상면보다 더 높게 상기 네거티브 레지스트층을 형성하는 단계를 포함하는 것을 특징으로 하는 패터닝 방법.
  14. 제 12 항에 있어서,
    상기 기판은,
    반도체 물질층 및 상기 반도체 물질층 위에 형성되는 유전층을 포함하는 것을 특징으로 하는 패터닝 방법.
  15. 제 12 항에 있어서,
    상기 네거티브 레지스트 패턴에 의해 정의된 상기 복수의 개구부를 통해 상기 기판을 식각하는 단계를 더 포함하는 것을 특징으로 하는 패터닝 방법.
KR1020080102004A 2008-03-12 2008-10-17 포토리소그래피에 의한 콘택 홀 및 트렌치를 위한 이중 패터닝 방법 KR101079014B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/047,086 2008-03-12
US12/047,086 US8048616B2 (en) 2008-03-12 2008-03-12 Double patterning strategy for contact hole and trench in photolithography

Publications (2)

Publication Number Publication Date
KR20090097765A true KR20090097765A (ko) 2009-09-16
KR101079014B1 KR101079014B1 (ko) 2011-11-01

Family

ID=41063426

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080102004A KR101079014B1 (ko) 2008-03-12 2008-10-17 포토리소그래피에 의한 콘택 홀 및 트렌치를 위한 이중 패터닝 방법

Country Status (5)

Country Link
US (2) US8048616B2 (ko)
JP (1) JP4890524B2 (ko)
KR (1) KR101079014B1 (ko)
CN (1) CN101533218B (ko)
TW (1) TWI380350B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013101107A1 (en) * 2011-12-29 2013-07-04 Intel Corporation Double patterning lithography techniques
KR101401695B1 (ko) * 2012-07-13 2014-05-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 현상 공정을 위한 장치 및 방법

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8741552B2 (en) 2009-02-11 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US7972926B2 (en) 2009-07-02 2011-07-05 Micron Technology, Inc. Methods of forming memory cells; and methods of forming vertical structures
CN102147568A (zh) * 2010-02-09 2011-08-10 台湾积体电路制造股份有限公司 光刻图案化方法及双重图案化方法
JP2012174976A (ja) * 2011-02-23 2012-09-10 Tokyo Electron Ltd パターンの形成方法
US9081280B2 (en) 2011-02-24 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist having improved extreme-ultraviolet lithography imaging performance
US8598712B2 (en) 2011-06-20 2013-12-03 United Microelectronics Corp. Semiconductor structure formed by double patterning technique
US9105590B2 (en) 2011-08-10 2015-08-11 United Microelectronics Corp. Semiconductor structure having material layers which are level with each other and manufacturing method thereof
US8691651B2 (en) 2011-08-25 2014-04-08 United Microelectronics Corp. Method of forming non-planar FET
US8470515B2 (en) * 2011-09-15 2013-06-25 Nanya Technology Corp. Method of forming an etch mask
US8729716B2 (en) 2011-10-31 2014-05-20 United Microelectronics Corp. Alignment accuracy mark
US8546202B2 (en) 2011-11-09 2013-10-01 United Microelectronics Corp. Manufacturing method for semiconductor structures
CN102402138A (zh) * 2011-11-18 2012-04-04 深圳市华星光电技术有限公司 完成小线距的导线制作方法
US8486587B2 (en) 2011-12-20 2013-07-16 United Microelectronics Corp. Method for correcting layout pattern and method for manufacturing photomask
US8785115B2 (en) 2012-02-09 2014-07-22 United Microelectronics Corp. Photoresist removal method and patterning process utilizing the same
US8778604B2 (en) 2012-04-24 2014-07-15 United Microelectronics Corp. Mask set for double exposure process and method of using the mask set
US9104833B2 (en) 2012-04-24 2015-08-11 United Microelectronics Corp. Mask set for double exposure process and method of using the mask set
US9171898B2 (en) 2012-11-13 2015-10-27 United Microelectronics Corp. Method for manufacturing semiconductor layout pattern, method for manufacturing semiconductor device, and semiconductor device
US8930860B2 (en) 2012-11-14 2015-01-06 United Microelectronics Corp. Layout decomposition method and method for manufacturing semiconductor device applying the same
US8739083B1 (en) 2012-11-14 2014-05-27 United Microelectronics Corp. Layout decomposition method and method for manufacturing semiconductor device applying the same
US8741507B1 (en) 2013-01-16 2014-06-03 United Microelectronics Corp. Method for separating photomask pattern
US8701052B1 (en) 2013-01-23 2014-04-15 United Microelectronics Corp. Method of optical proximity correction in combination with double patterning technique
US8627242B1 (en) 2013-01-30 2014-01-07 United Microelectronics Corp. Method for making photomask layout
US8822328B1 (en) 2013-03-07 2014-09-02 United Microelectronics Corp. Method for patterning semiconductor structure
US8951918B2 (en) 2013-03-27 2015-02-10 United Microelectronics Corp. Method for fabricating patterned structure of semiconductor device
US9230812B2 (en) 2013-05-22 2016-01-05 United Microelectronics Corp. Method for forming semiconductor structure having opening
US9274413B2 (en) 2013-09-11 2016-03-01 United Microelectronics Corp. Method for forming layout pattern
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
JP5719948B2 (ja) * 2014-02-12 2015-05-20 Hoya株式会社 光学素子の製造方法
JP5907634B2 (ja) * 2015-03-23 2016-04-26 Hoya株式会社 光学素子の製造方法
US9685507B2 (en) 2015-06-25 2017-06-20 International Business Machines Corporation FinFET devices
TWI658483B (zh) * 2016-04-08 2019-05-01 許銘案 充電線圈及其製造方法
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
US10515847B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming vias and method for forming contacts in vias
CN108231796B (zh) * 2018-01-03 2021-01-22 京东方科技集团股份有限公司 阵列基板及其制作方法、显示装置

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58193544A (ja) * 1982-05-07 1983-11-11 Fujitsu Ltd レジストパタ−ン形成方法
US4568631A (en) * 1984-04-30 1986-02-04 International Business Machines Corporation Process for delineating photoresist lines at pattern edges only using image reversal composition with diazoquinone
JPS62166520A (ja) 1986-01-20 1987-07-23 Nec Corp 微細パタ−ンのパタ−ニング法
JPH0253060A (ja) 1988-08-18 1990-02-22 Matsushita Electron Corp 半導体装置の製造方法
JPH02125620A (ja) 1988-11-05 1990-05-14 Mitsubishi Electric Corp パターン形成方法
JPH03270227A (ja) 1990-03-20 1991-12-02 Mitsubishi Electric Corp 微細パターンの形成方法
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2919004B2 (ja) 1990-07-12 1999-07-12 沖電気工業株式会社 パターン形成方法
JPH05136033A (ja) 1991-11-13 1993-06-01 Hitachi Ltd パターン形成方法及びその装置
JPH07130631A (ja) * 1993-11-05 1995-05-19 Sanyo Electric Co Ltd パターン形成方法及びそれを利用した半導体記憶装置の製造方法
US5667940A (en) * 1994-05-11 1997-09-16 United Microelectronics Corporation Process for creating high density integrated circuits utilizing double coating photoresist mask
JPH10150027A (ja) 1996-11-19 1998-06-02 Nittetsu Semiconductor Kk 半導体装置の微細レジストパターンの形成方法
JP4198778B2 (ja) * 1998-03-30 2008-12-17 三菱電機株式会社 半導体装置の製造方法
US6221562B1 (en) * 1998-11-13 2001-04-24 International Business Machines Corporation Resist image reversal by means of spun-on-glass
KR20000045425A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 미세패턴 형성방법
JP3974295B2 (ja) 1999-09-24 2007-09-12 株式会社東芝 パターン形成方法
JP2001251038A (ja) 2000-03-03 2001-09-14 Nippon Mektron Ltd 回路基板のレジストパタ−ン形成法
US6358856B1 (en) * 2000-11-21 2002-03-19 Advanced Micro Devices, Inc. Bright field image reversal for contact hole patterning
US7399709B1 (en) * 2002-09-27 2008-07-15 Taiwan Semiconductor Manufacturing Co., Ltd. Complementary replacement of material
JP3884415B2 (ja) 2003-07-22 2007-02-21 株式会社東芝 パターン形成方法及び半導体装置の製造方法
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7482280B2 (en) 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
US7959818B2 (en) 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device
JP5216253B2 (ja) * 2007-06-21 2013-06-19 東京応化工業株式会社 レジストパターン形成方法
JP2009053547A (ja) 2007-08-28 2009-03-12 Tokyo Ohka Kogyo Co Ltd パターン形成方法及び被覆膜形成用材料
JPWO2009054413A1 (ja) 2007-10-25 2011-03-03 日産化学工業株式会社 半導体装置の作製方法
US7935477B2 (en) 2007-11-30 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench
KR101384814B1 (ko) 2007-12-14 2014-04-14 제이에스알 가부시끼가이샤 패턴 형성 방법
JP2009194248A (ja) 2008-02-15 2009-08-27 Tokyo Electron Ltd パターン形成方法、半導体製造装置及び記憶媒体

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013101107A1 (en) * 2011-12-29 2013-07-04 Intel Corporation Double patterning lithography techniques
US9142421B2 (en) 2011-12-29 2015-09-22 Intel Corporation Double patterning lithography techniques
KR101401695B1 (ko) * 2012-07-13 2014-05-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 현상 공정을 위한 장치 및 방법

Also Published As

Publication number Publication date
CN101533218A (zh) 2009-09-16
TWI380350B (en) 2012-12-21
US20120034778A1 (en) 2012-02-09
CN101533218B (zh) 2012-05-30
TW200939300A (en) 2009-09-16
JP2009218556A (ja) 2009-09-24
US8450052B2 (en) 2013-05-28
JP4890524B2 (ja) 2012-03-07
KR101079014B1 (ko) 2011-11-01
US8048616B2 (en) 2011-11-01
US20090233238A1 (en) 2009-09-17

Similar Documents

Publication Publication Date Title
KR101079014B1 (ko) 포토리소그래피에 의한 콘택 홀 및 트렌치를 위한 이중 패터닝 방법
US8222151B2 (en) Double patterning strategy for contact hole and trench in photolithography
US8536064B2 (en) Double patterning strategy for contact hole and trench in photolithography
KR101208461B1 (ko) 콘택트 홀과 트렌치를 위한 이중 패터닝 방법
US8470708B2 (en) Double patterning strategy for contact hole and trench in photolithography
US9323155B2 (en) Double patterning strategy for contact hole and trench in photolithography
TWI387998B (zh) 微影方法
US8039195B2 (en) Si device making method by using a novel material for packing and unpacking process
US7527918B2 (en) Pattern forming method and method for manufacturing a semiconductor device
KR100811431B1 (ko) 반도체 소자의 제조 방법
US8530147B2 (en) Patterning process
TW201800861A (zh) 微影圖案化方法
US20090011374A1 (en) Method and material for forming high etch resistant double exposure patterns
US20070269749A1 (en) Methods to reduce the minimum pitch in a pattern
CN108231548B (zh) 半导体装置的制作方法
TWI737856B (zh) 微影圖案化方法
US20110059407A1 (en) Double patterning strategy for forming fine patterns in photolithography
TWI515768B (zh) 微影圖案化方法及雙重圖案化方法
CN108231550B (zh) 半导体装置的制作方法
JP2005150271A (ja) パターン形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141008

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151007

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161014

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171017

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20181012

Year of fee payment: 8