KR101208461B1 - 콘택트 홀과 트렌치를 위한 이중 패터닝 방법 - Google Patents

콘택트 홀과 트렌치를 위한 이중 패터닝 방법 Download PDF

Info

Publication number
KR101208461B1
KR101208461B1 KR1020080101996A KR20080101996A KR101208461B1 KR 101208461 B1 KR101208461 B1 KR 101208461B1 KR 1020080101996 A KR1020080101996 A KR 1020080101996A KR 20080101996 A KR20080101996 A KR 20080101996A KR 101208461 B1 KR101208461 B1 KR 101208461B1
Authority
KR
South Korea
Prior art keywords
forming
layer
material layer
resist
resist pattern
Prior art date
Application number
KR1020080101996A
Other languages
English (en)
Other versions
KR20090056814A (ko
Inventor
수 펭-쳉
첸 춘-쿠앙
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20090056814A publication Critical patent/KR20090056814A/ko
Application granted granted Critical
Publication of KR101208461B1 publication Critical patent/KR101208461B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

리소그래피 패터닝 방법은 기판 위에 적어도 하나의 개구부를 포함하는 제 1 레지스트 패턴을 형성하는 단계; 상기 제 1 레지스트 패턴을 경화하는 단계; 상기 기판 위에 제 2 레지스트 패턴을 형성하는 단계; 상기 기판 위에 물질층을 형성하는 단계; 및 상기 기판이 노출되도록 상기 제 1 및 제 2 레지스트 패턴을 제거하는 단계를 포함한다.

Description

콘택트 홀과 트렌치를 위한 이중 패터닝 방법{DOUBLE PATTERNING STRATEGY FOR CONTACT HOLE AND TRENCH}
본 발명은 반도체 공정에서의 패터닝 기술에 관한 것으로, 더욱 상세하게는 콘택 홀과 트렌치를 위한 이중 패터닝 방법에 관한 것이다.
반도체 기술은 예를 들어, 65 나노미터, 45 나노미터 그리고 보다 작은 형태의 크기로 계속해서 발전하고 있다. 이렇게 보다 작은 형태의 크기를 생산하기 위하여 사용되는 패터닝된 포토레지스트(레지스트)층은 일반적으로 높은 종횡비(aspect ratio)를 갖는다. 요구되는 CD(Critical Dimension)를 유지시키는 것은 여러 가지 이유, 특히 높은 종횡비를 가지는 레지스트층으로 인하여 매우 어려울 수 있다. 예를 들어, 레지스트층은 리소그래피(lithography) 패터닝 공정 동안에 CD 열화와 패턴 붕괴(collapse) 등의 상황을 겪을 수 있다.
하기의 본 발명은 다양한 실시예의 서로 다른 특징을 나타내기 위하여, 많은 다른 실시예 또는 예를 제공하는 것으로 해석됨이 당연하다. 구체적인 구성요소와 장치들의 예들은 본 발명을 단순화하여 아래와 같이 설명된다. 물론, 이러한 실시예들은 단지 예시에 불과하며, 본 발명을 한정하려는 것은 아니다. 예를 들어, 아래의 설명에서 제 2 요소 위에 제 1 요소를 형성하는 것은 상기 제 1 및 제 2 요소가 직접 접하는 실시예를 포함할 수 있으며, 또한 상기 제 1 및 제 2 요소가 직접적으로 접합하지 않도록 상기 제 1 및 제 2 요소 사이에 추가적인 요소가 형성된 실시예를 포함할 수 있다. 그 외에도, 본 발명은 다양한 예에서 참조부호를 반복할 수 있다. 이런 반복은 본 발명을 단순화하고 명료하게 하기 위함이고, 기술된 다양한 실시예 및/또는 구성 간의 관계를 그 자체로서 나타내는 것은 아니다.
도 1 내지 도 8은 다양한 제조 단계에 있는 반도체 소자(100)의 일 실시예를 보여주는 단면도이다. 도 9는 리소그래피 패터닝을 위한 방법(200)의 일 실시예를 보여주는 순서도이다. 도 1 내지 도 9를 참조하여, 리소그래피 패터닝을 위한 방법(200)과 그 방법에 따라 제조된 반도체 소자(100)가 총괄되어 설명된다.
도 1은 실리콘 기판(110)을 가지는 반도체 소자(100)를 보여준다. 상기 기판(110)은 선택적으로 Ge, SiGe 또는 GaAs를 포함하는 다른 적절한 반도체 물질로 이루어질 수 있다. 그 밖에도, 기판(110)은 선택적으로 다이아몬드와 같은 다른 적절한 반도체 요소; 탄화규소(silicon carbide), 비소화인듐(indium arsenide) 또는 인듐 인화물(indium phosphide)과 같은 적절한 반도체 화합물; 또는 실리콘 게르마늄 탄화물(silicon germanium carbide), 갈륨 비소 인화물(gallium arsenic phosphide) 또는 갈륨 인듐 인화물(gallium indium phosphide)과 같은 적절한 혼합물로 이루어질 수 있다. 선택적으로, 기판(110)은 TFT-LCD 장치를 위한 유리 기판과 같은 비반도체 물질, 또는 포토마스크(또는 마스크, 노광판)를 위한 플루오르화 칼슘(calcium fluoride) 또는 석영유리(fused quartz)일 수 있다. 기판(110)은 다양하게 도핑된 영역, 유전 구조물 및 다층 연결배선(multilevel interconnects)을 포함할 수 있다. 일 실시예에서, 기판(110)은 시모스펫(CMOSFET), 이미지 센서, 메모리 셀 및/또는 용량 소자(capacitive element)와 같은 다양한 마이크로전자 부품을 위하여 다양하게 도핑된 구조물들을 포함한다. 다른 실시예에서, 기판(110)은 다양한 마이크로전자 부품이 각각 결합되고 격리되도록 구성된 도전성 물질 구조물 및 유전성 물질 구조물을 포함한다. 다른 실시예에서, 기판(110)은 상면에 형성된 하나 이상의 물질층(material layer)을 포함한다.
도 1 및 도 9를 참조하면, 상기 방법은 기판(110) 위에 하부 물질층을 형성하는 202 단계를 시작할 수 있다. 상기 하부 물질층은 다양한 애플리케이션에서 다양한 기능을 위하여 다수의 막을 포함한다.
물질층(112)은 기판(110) 위에 형성된다. 상기 물질층(112)은 실리콘, 폴리실리콘, 유전물질, 도전물질 및 그것들의 조합을 포함한다. 일례로, 물질층은 약 100 옹스트롬(angstrom) 내지 약 9000 옹스트롬 범위의 두께를 가질 수 있다. 더욱 구체적인 예를 들면, 상기 물질층(112)은 약 1000 옹스트롬 내지 약 3500 옹스트롬 범위의 두께를 가진다. 일 실시예에서, 물질층(112)은 층간 절연(interlayer dielectric) 또는 금속층간 절연(inter-metal dielectric)을 위한 유전물질을 포함한다. 유전막은 산화규소(silicon oxide) 및/또는 대략 4 보다 작은 유전체 상수를 가지는 저 유전-상수(low-k) 유전물질을 포함한다. 예를 들어, 상기 저 유전-상수 물질은 FSG(fluorinated silica glass), CDO(carbon doped silicon oxide), 블랙 다이아몬드(미국 캘리포니아주 산타 클라라의 Applied Materials사의 등록상표), 제어로젤(Xerogel), 에어로젤(Aerogel), 비정질 불화 탄소(amorphous fluorinated carbon), 패럴린(Parylene), BCB(bis-benzocyclobutenes), SiLK(미국 미시간주 미드랜드의 Dow Chemical사 제품), 폴리이미드(polyimide) 및/또는 다른 적당한 다공성의 중합체 물질로 이루어진 군으로부터 선택된 물질일 수 있다. 유전막은 스핀-온(spin-on) 코팅 또는 화학기상증착(CVD)을 포함하는 적절한 공정에 의해 형성될 수 있다.
마스크층(114)은 물질층(112) 위에 추가적으로 형성될 수 있다. 본 실시예에서, 상기 마스크층(114)은 스핀-온 코팅과 같은 적절한 공정에 의해 형성된 탄소가 함유된 막을 포함한다. 예를 들어, 상기 탄소가 함유된 층은 탄화규소(silicon carbide)를 포함한다. 상기 실리콘이 함유된 층(114)은 약 50 옹스트롬 내지 약 1000 옹스트롬 범위의 두께를 가질 수 있다. 마스크층(114)은 물질층(112) 및/또는 기판(110)을 패터닝하기 위한 이후의 식각공정 동안에 하드 마스크의 기능을 한다.
추가적으로, 물질층(116)이 리소그래피 노광 공정 동안에 반사율을 감소하기 위하여 마스크층(114) 위에 형성될 수 있다. 일례로서, 상기 물질층(116)은 적당한 BARC(bottom anti-reflective coating) 물질을 포함한다. 또 다른 예를 들면, 물질층(116)은 약 50 옹스트롬 내지 약 500 옹스트롬 범위의 두께를 갖는다. 상기 물질층(116)은 스핀코팅 기술에 의해 형성될 수 있다.
도 1 및 도 9를 참조하면, 상기 방법은 기판 위에 제 1 레지스트 패턴(118)을 형성하는 204 단계를 진행한다. 일례로서, 레지스트층은 기판 위에 형성된 후, 제 1 리소그래피 공정에 의해 패터닝되어 도 1에 도시된 바와 같이 제 1 레지스트 패턴(118)을 형성한다. 상기 제 1 레지스트 패턴(118)은 복수의 제 1 레지스트 구조물들과 제 1 레지스트 구조물들에 의해 형성된 복수의 개구부를 포함하고, 상기 개구부에서 하부의 물질층 일부는 노출된다. 상기 제 1 레지스트 패턴(118)의 개구부는 미리 정해진 패턴에 따라 구성된다. 일례로, 제 1 레지스트 패턴은 마스크 상에 형성된 IC 구조물에 따라 구성된 다양한 배선(lines)을 포함한다. 일 실시예에서, 상기 제 1 레지스트 구조물들은 레지스트 패턴내 하나의 구조물에서 인접한 구조물까지의 간격으로 정의된 피치(pitch)를 가질 수 있다. 상기 피치는 약 50 nm 내지 약 200 nm 범위일 수 있다. 일례로, 상기 피치는 대략 100 nm 이다. 상기 제 1 레지스트 패턴(118)은 약 100 옹스트롬 내지 5000 옹스트롬 범위의 두께를 가질 수 있다. 다양한 예에서, 상기 제 1 레지스트 패턴(118)은 약 500 옹스트롬 내지 약 3000 옹스트롬 범위 또는 약 1000 옹스트롬 내지 약 1500 옹스트롬 범위의 두께를 가질 수 있다. 상기 제 1 레지스트 패턴(118)의 레지스트 물질은 포지티브형 레지스트 또는 네거티브형 레지스트일 수 있다. EUV(extreme ultraviolet) 방사빔을 사용하는 개선된 반도체 패터닝을 위하여, 상기 제 1 레지스트 패턴(118)은 CA(chemical amplification) 레지스트를 사용할 수 있다. 제 1 레지스트 패턴(118)은 방사빔이 적용될 때 산(acid)이 발생되도록, 산분자(acid molecular) 또는 감광성 산발생제(radiation-sensitive acid generator)를 포함할 수 있다. 제 1 레지스트 패턴(118)은 레지스트 코팅, 소프트 베이킹, 마스크 정렬, 노광, PEB(post-exposure baking), 현상 및 하드 베이킹의 공정 단계를 포함할 수 있는 제 1 리소그래피 공정에 의해 형성된다. 예시를 위하여, 상기 노광 공정은 미리 형성된 패턴(또는 역전된 패턴)을 가지는 마스크를 통과하는 방사빔 아래에 반도체 소자(100)를 노출시킴에 따라 수행될 수 있다. 상기 방사빔은 KrF(Krypton Fluoride) 엑시머 레이저의 248nm 빔 또는 ArF(Argon Fluoride) 엑시머 레이저의 193nm 빔과 같은 UV 또는 EUV일 수 있다. 상기 리소그래피 공정은 축상(on-axis), 비축상(off-axis), 4극자(quadripole) 또는 쌍극자(dipole) 노광 기술과 같은, 다른 노광 모드 또는 기술을 활용할 수 있다. 상기 리소그래피 패터닝은 선택적으로 마스크리스(maskless) 리소그래피, 전자빔 묘화(electron writing), 이온빔 묘화(ion-beam writing) 및 분자 임프린트(molecular imprint) 기술과 같은 다른 적당한 방법으로 실시되거나 교체될 수 있다.
도 2 및 도 9를 참조하면, 상기 방법(200)은 제 1 레지스트 패턴(118)을 경화하는 206 단계를 진행한다. 상기 경화 공정은 제 1 레지스트 패턴(118)을 경화할 수 있으며, 이후 제 2 레지스트층을 형성하기 위한 리소그래피 공정시 제 1 레지스트 패턴이 변형되는 것을 방지할 수 있다. 상기 경화 공정은 열경화를 포함한다. 선택적으로, 상기 경화 공정은 UV 경화, 이온 주입 충격(ion implant bombard), 전 자빔 처리 또는 이것들의 조합을 포함할 수 있다. 선택적으로 또는 추가적으로, 제 1 레지스트 구조물들을 보호하고 강도를 강화시키기 위해 제 2 리소그래피 공정이 진행되기 전에 상기 제 1 레지스트 패턴(118)은 코팅된다. 예를 들어, 상기 제 1 레지스트 패턴(118)은 폴리머 물질로 코팅된다. 또 다른 예에서, BARC 물질이 상기 제 1 패턴 레지스트 구조물들(118)을 약 50 옹스트롬 내지 약 500 옹스트롬 범위의 두께로 코딩하는데 사용된다.
도 3 및 도 9를 참조하면, 상기 방법(200)은 제 2 리소그래피 공정에 따라 도 3에 도시된 기판 위의 제 2 레지스트 패턴(120)을 형성하는 208 단계를 진행한다. 제 2 레지스트층은 하부 물질층 위에 형성되고 추가적으로 상기 제 1 레지스트 패턴(118) 위에 형성될 수 있으며, 이후 제 2 레지스트 패턴(120)을 형성하기 위하여 패터닝된다. 마찬가지로, 제 2 레지스트 패턴(120)은 복수의 제 2 레지스트 구조물들 및 상기 제 2 레지스트 구조물들에 의해 형성된 복수의 개구부를 포함하며, 제 1 및 제 2 레지스트 패턴 모두에 의해 차폐되지 않은 하부 물질층의 일부는 노출된다. 제 2 레지스트 구조물들은 제 2 마스크 위에 정의된 소정의 IC 구조에 따라 구성된다. 일 실시예에서, 상기 제 2 레지스트 구조물들은 제 1 레지스트 패턴의 두개의 인접 구조물들 사이에 삽입되도록 배치된다. 마찬가지로, 제 2 레지스트 패턴의 두개의 인접 구조물들 사이에는 제 1 레지스트 패턴의 구조물들 중 하나가 삽입된다.
제 2 레지스트 패턴(120)은 이중 패터닝 구조를 이용할 수 있도록, 제 1 레지스트 패턴(118)에 대응하여 구성된다. 일 실시예에서, 상기 제 1 및 제 2 레지스 트 패턴 내의 개구부는 피치 분할(pitch splitting)을 달성하도록 구성될 수 있다. 예를 들어, 상기 제 2 레지스트 구조물들은 약 50 nm 내지 약 200 nm 범위의 피치(pitch)를 가질 수 있다. 다른 예로서, 상기 피치는 약 100 nm가 될 수 있다. 다른 예에서, 제 1 및 제 2 레지스트 패턴(118, 120)은 제 1 또는 제 2 레지스트 패턴의 절반 크기의 분할 피치를 갖도록 구성된다. 상기 제 1 및 제 2 레지스트 패턴이 모두 형성된 후에, 상기 제 1 레지스트 패턴의 한 구조물에서부터 인접한 상기 제 2 레지스트 패턴의 구조물까지로 정의되는 피치는 이등분되어, 최소 크기로 축소된다. 다른 실시예에서, 제 1 및 제 2 레지스트 패턴에 의해 형성된 개구부는 금속 연결배선을 위한 다양한 콘택 홀 또는 트렌치를 구성할 수 있다. 일례로서, 상기 제 2 레지스트 패턴(120)은 제 1 패턴(118)의 다양한 배선과 함께 맞물린 다양한 배선을 포함한다. 제 2 레지스트 패턴(120)은 형성과 구성의 관점에서 보면 상기 제 1 레지스트 패턴(118)과 실질적으로 유사할 수 있다. 상기 제 2 레지스트 패턴(120)은 제 1 레지스트 패턴(118)의 두께와 실질적으로 유사한 두께를 가질 수 있다. 일 실시예에서, 제 2 레지스트 패턴(120)의 레지스트 물질은 제 1 레지스트 패턴(118)의 물질과 실질적으로 유사하다. 예를 들어, 상기 제 2 레지스트 패턴(120)은 CA(chemical amplification) 레지스트를 사용할 수 있다. 상기 제 2 레지스트 패턴(120)을 형성하는 제 2 리소그래피 공정은 제 1 레지스트 패턴(118)을 형성하는 제 1 리소그래피 공정과 실질적으로 유사할 수 있다. 예를 들어, 리소그래피 공정은 레지스트 코팅, 소프트 베이킹, 마스크 정렬, 노광, PEB(post-exposure baking), 현상 및 하드 베이킹 단계를 포함할 수 있다.
도 4 및 도 9를 참조하면, 상기 방법(200)은 기판 위의 상부 물질층(122)을 형성하는 210 단계를 진행한다. 상부 물질층(122)은 도 4에 도시된 바와 같이 복수의 제 1 레지스트 구조물들과 복수의 제 2 레지스트 구조물들에 의해 형성된 개구부를 채운다. 상부 물질층(122)은 추가적으로 제 1 및 제 2 레지스트 패턴 위에 형성될 수 있다. 상부 물질층(122)은 스핀-온 코팅과 같은 적당한 기술에 따라 형성된 실리콘-리치(silicon-rich) 물질을 포함한다. 예를 들어, 상부 물질층(122)은 중량에 있어서 실리콘을 대략 40% 이상 포함한다. 일 실시예에서, 상부 물질층(122)은 실리콘이 함유된 유기 폴리머를 포함한다. 상기 폴리머 물질은 가교 결합(cross-link)될 수 있다. 상기 상부 물질층(122)은 가교 결합을 위하여 열적으로 베이크(bake)될 수 있다. 선택적으로, 상부 물질층(122)은 실리콘이 함유된 무기 폴리머를 포함할 수 있다. 예를 들어, 상기 무기 폴리머 물질은 실리콘(silicone)을 포함할 수 있다. 다른 실시예에서, 상부 물질층(122)은 산화규소(silicon oxide), 질화규소(silicon nitride) 또는 실리콘 산질화물(silicon oxynitride)을 포함할 수 있다. 예를 들어, 상기 상부 물질층은 본 기술분야에서 알려진 SOG(spin-on glass)를 포함할 수 있다. 다른 실시예에서, 상부 물질층(122)은 다결정 실리콘과 같은 순수한 실리콘을 포함할 수 있다. 다른 실시예에서, 상부 물질층은 선택적으로 티타늄, 질화티타늄(titanium nitride), 알루미늄, 탄탈륨과 같은 금속을 포함하는 금속함유 유기 폴리머 물질을 포함할 수 있다. 상부 물질층(122)은 제 1 및 제 2 레지스트 패턴에 의해 형성된 개구부를 실질적으로 메우기 위하여 제 1 및 제 2 레지스트 패턴보다 보다 더 두꺼운 두께를 가질 수 있다. 예를 들어, 상부 물질층은 약 500 내지 2000 옹스트롬 범위의 두께를 포함한다. 선택적으로, 상부 물질층(122)은 제 1 및 제 2 레지스트 패턴의 상부 표면이 노출되도록 제 1 및 제 2 레지스트 패턴보다 더 얇은 두께를 가질 수 있다. 일례로서, 상기 상부 물질층은 약 800 내지 900 옹스트롬 범위의 두께를 포함한다.
도 5 및 도 9를 참조하면, 상기 방법은 상부 물질층(122)을 식각하여 제 1 및 제 2 레지스트 패턴(118, 120)을 노출하는 212 단계를 진행할 수 있다. 식각 공정은 상부 물질층(122)이 일부분만 제거되도록 상부 물질층(122)에 적용되며, 이에 따라 제 1 및 제 2 레지스트 패턴(118, 120)의 상부 표면은 완전하게 노출된다. 식각 공정은 다양한 예에서, 이산화규소(silicon dioxide) 식각하기 위한 CF4, C3F8, C4F8, CHF3, CH2F2 건식 식각 또는 BHF(buffered hydrofluoric acid) 습식 식각을 사용할 수 있다. 선택적으로, 상부 물질층이 처음부터 제 1 및 제 2 레지스트 패턴의 상부 표면을 노출하도록 형성되었다면, 212 단계는 생략될 수 있다.
도 6 및 도 9를 참조하면, 상기 방법(200)은 제 1 레지스트 패턴(118) 및 제 2 레지스트 패턴(120) 모두를 제거하는 214 단계를 진행한다. 상부 물질층(122)에 의해 차폐되지 않은 BARC층은 이 단계에서 제 1 및 제 2 레지스트 패턴을 제거하기 위한 공정 동안에 추가적으로 제거될 수 있다. 제 1 및 제 2 레지스트 패턴이 제거된 후에, 도 6에 도시된 바와 같이 하부 물질층은 상부 물질층(122)에 의해 형성된 개구부 내부에 노출된다. 패턴화된 상부 물질층은 제 1 및 제 2 레지스트 패턴 모두에 대하여 역전된 패턴(reversed pattern)을 갖는다. 일례로서, 상기 역전된 상 부 물질 패턴은 기판에서 형성된 콘택 홀 또는 트렌치와 대응한다. 다른 예로서, 상기 역전된 상부 물질 패턴은 하부 물질층 또는 기판 위에 형성된 금속 배선과 대응한다. 레지스트 애싱(ashing) 공정이 레지스트 물질과 노출된 BARC층을 제거하는데 사용될 수 있다.
도 7 및 도 9를 참조하면, 상기 방법(200)은 하부 물질층을 식각하는 216 단계를 진행한다. 일 실시예에서, 상부 물질층(122)에 의해 차폐되지 않은 마스크층(114)은 제거되고, 그 결과 상부 물질층(122)에 형성된 개구부는 마스크층(114)으로 전사된다. 상기 마스크층(114)은 제 1 및 제 2 레지스트 패턴에 대하여 역전된 패턴을 포함한다. 다양한 실시예에서, 상기 식각 공정은 마스크층(114)이 상부 물질층(122)의 식각 속도(etch rate) 보다 더 빠른 식각 속도를 가지도록 선택된다. 그러므로, 상부 물질층 개구부 내의 마스크층은 식각 단계 동안에 실질적으로 제거된다. 일 실시예에서, 상기 식각 공정은 질소 플라즈마 또는 산소, 수소, 탄소 불화물(carbon fluoride), 탄소 브롬화물(carbon bromide) 및 질소 플라즈마의 혼합물로 실현될 수 있으며, 그 동안 실리콘이 함유된 상부 물질층은 질화물 또는 산질화물로 전환되어 보다 강한 식각 내성을 제공한다. 다양한 이점이 본 발명에 따른 방법(200)의 다양한 실시예에서 나타날 수 있다. 예를 들어, 상부 물질층(122)의 각각의 개구부는 제 1 및 제 2 레지스트 패턴(118, 120)의 구조물들과 대응함으로써, 두개의 인접한 개구부의 위치가 바뀌는 제 1 및 제 2 레지스트 패턴의 오버레이 오류(overlay error)가 야기될 수 있다. 그러나 각 개구부의 치수는 유지된다. 종래의 이중 패터닝 공정에서 발생하는 오버레이 에러와 연관된 콘택 홀 또는 금속 배선과 같은 IC 구조물의 치수 변화는 본 발명에 따른 방법(200)을 활용함으로써 제거된다. 다른 예에서, 단지 1회의 식각 공정이 하부 물질층을 식각하는데 사용되기 때문에, 종래의 이중 패터닝 및 이중 식각 방법과 비교하면 제조비용, 작업 처리량 및 품질이 개선된다. 다른 예에서, 상부 물질층(122)은 하부 물질층을 제거하는 식각 공정 동안에 하드 마스크로서 사용되기 때문에, 제 1 및 제 2 레지스트 패턴은 하부 물질층을 제거하는 식각 공정 동안에 식각 저항층으로서의 기능에서 해방되고, 그 결과 제 1 및 제 2 레지스트층 모두의 두께가 감소될 수 있다. 이에 따라, 하부 물질층 위에 형성된 패턴의 CD 제어(control)가 개선된다. 게다가, 레지스트 물질의 식각 내성은 상관이 없으므로 레지스트 물질의 선택 기준도 넓어진다.
도 8 및 도 9를 참조하면, 상기 방법(200)은 상부 물질층에 차폐되지 않은 하부 물질층을 식각한 후에 상부 물질층(122)을 제거하는 218 단계를 진행할 수 있다. 상기 218 단계는 상부 물질층(122)을 제거하기 위한 습식 화학 식각 공정 또는 건식 플라즈마 식각 공정으로 실현될 수 있다. 예를 들어, 식각 공정은 다양한 예로서 이산화규소(silicon dioxide)를 식각하기 위하여 CF4, C3F8, C4F8, CHF3, CH2F2 건식 식각 또는 BHF(buffered hydrofluoric acid) 습식 식각을 사용할 수 있다. 추가적으로, 물질층(116)도 동일한 식각 공정 또는 다른 식각 공정에 의해 제거될 수 있다.
추가적으로, 예시적인 소자(100)의 단면도로서 도 10에 도시된 바와 같이, 상기 형성된 개구부를 마스크층(114)부터 유전층(112)까지 전사하기 위하여, 상기 기판 또는 상기 유전층(112)은 상기 패턴화된 마스크층(114)을 하드 마스크층(114)으로 이용하여 제거될 수 있다. 유전층(122)은 건식 식각 또는 습식 식각을 포함하는 적절한 식각 공정을 사용하여 패턴화된 마스크층(114)의 개구부 내부에서 식각되어, 유전층에 복수의 트렌치 또는 콘택 홀을 형성한다. 상기 마스크층(114)은 이 단계에서 하드 마스크로서 사용되며, 이에 따라 유전층(112)의 식각 내성에 비해 더 높은 식각 내성을 갖는다. 상기 식각 공정은 HBr, Cl2, SF6, O2, Ar, 및/또는 He와 같은 적당한 식각 가스를 활용할 수 있다. 상기 마스크층(114)은 상기 식각 공정 동안에 소멸될 수 있다. 남아 있는 마스크층(114)은 도 11에 도시된 바와 같이, 이후에 제거된다.
도 1 내지 도 11을 참조하여 상술한 상기 방법은 본 발명의 다양한 양태에 따라 구성된 이중 패터닝 공정을 제공한다. 이 방법은 하부 물질층을 위한 이중 노광과 단일의 식각 공정을 실시하고, 이에 따라 앞서 언급한 바와 같이 제조비용을 절약하고 CD 변형을 최소화한다. 게다가, 다른 장점들이 나타날 수 있다. 예를 들어, 마스크층(114)이 하부 물질층 또는 기판을 식각하기 위한 하드 마스크로 사용되므로, 보다 높은 식각 내성을 가지는 마스크층(114)을 적절히 선택한다면 본 방법은 보다 두꺼운 막을 식각할 수 있다.
리소그래피 패터닝 방법(200)의 다양한 실시예가 소개 및 설명되었다. 다른 변형, 수정, 부가 및 확장이 본 발명의 영역으로부터 벗어나지 않고 이용될 수 있 다. 일례로서, 복수의 콘택 홀이 제 1 및 제 2 레지스트 패턴(118, 120)에 의해 정의되고, 유전층(112)에서 형성될 수 있다. 선택적으로, 분할 피치(split pitch)를 가지는 복수의 배선 구조물들이 제 1 및 제 2 레지스트 패턴(118, 120)에 의해 정의되어, 유전층(112)에 형성될 수 있다. 다른 예로서, 상부 물질층(122)은 식각 속도 면에서 마스크층(114)과 실질적으로 다르도록 선택될 수 있다. 다른 실시 예에서, 하부 물질층은 그 밖의 조합 및 변형을 포함할 수 있다. 예를 들어, 하부 물질층은 생략될 수 있다. 상기 제 1 및 제 2 레지스트 패턴은 직접적으로 기판(110) 위에 형성될 수 있다. 다른 예에서, 212 단계에서 상부 물질층(122)을 일부 제거하는 공정은 이산화 규소(silicon dioxide)를 식각하기 위하여 CF4 건식 식각 또는 BHF(buffered hydrofluric acid) 습식 식각을 사용할 수 있다. CMP(chemical mechanical polishing)와 같은 다른 적당한 공정이 상부 물질층을 일부 제거하기 위하여 실시될 수 있다. 다른 예에서, 214 단계의 제 1 및 제 2 레지스트 패턴(118, 120)의 제거는 습식 스트리핑 또는 산소 플라즈마 스트리핑을 포함하는 전통적인 공정을 활용할 수 있다.
다른 예에서, 마스크층(114)에서 역전된 패턴은 다른 리소그래피 패터닝 기술에 통합될 수 있다. 예를 들어, 상기 역전된 패턴은 무크롬 위상 리소그래피(chromeless phase lithography)에 통합될 수 있다. 상기 무크롬 위상 리소그래피는 높은 광학 콘트라스트를 갖는다. 상기 무크롬 마스크는 PSM(Phase Shift Mask) 또는 바이너리(binary) 마스크 보다 더 좋은 구조물들을 패터닝할 수 있다. 예를 들어, 무크롬 마스크는 포지티브 레지스트를 사용함으로써 섬(island) 패턴을 인쇄할 수 있다. 상기 역전된 패턴은 개선된 해상도를 가지고 상기 섬 패턴을 홀(hole) 패턴으로 전사할 수 있다. 상기 방법은 상기 이미지 패턴을 전환시킬 뿐 아니라 상기 식각 레지스트를 개선한다. 상기 방법(200)에 따라 역전된 패턴은 다양한 애플리케이션에서 사용될 수 있다. 예를 들어, 역전된 패턴을 통해 보다 나은 품질을 가진 배선 패턴이 만들어진다면, 그것에 의하여 개선된 해상도를 지닌 다양한 배선 패턴이 형성될 것이다.
이와 같이, 본 발명은 리소그래피 패터닝 방법을 제공한다. 상기 방법은 기판 위에 적어도 하나의 개구부를 포함하는 제 1 레지스트 패턴을 형성하는 단계; 상기 제 1 레지스트 패턴을 경화하는 단계; 상기 기판 위에 제 2 레지스트 패턴을 형성하는 단계; 상기 기판 위에 물질층을 형성하는 단계; 및 상기 기판이 노출되도록 상기 제 1 및 제 2 레지스트 패턴을 제거하는 단계를 포함한다.
상기 방법은 상기 제 1 물질층을 마스크로 사용하여 기판을 식각하는 단계를 더 포함할 수 있다. 상기 방법에서, 상기 제 2 레지스트 패턴의 일부는 적어도 하나의 상기 제 1 레지스트 패턴의 개구부 내부에 배치될 수 있다. 상기 제 1 레지스트 패턴을 경화하는 단계는 열 경화, UV 경화, 전자빔 처리, 이온 주입 처리(ion-implant treatment) 및 이것들의 조합으로 이루어진 군으로부터 선택된 공정을 상기 제 1 레지스트 패턴에 적용하는 것을 포한한다. 각각의 상기 제 1 및 제 2 레지스트 패턴은 약 600 옹스트롬 내지 약 800 옹스트롬 범위의 두께와 약 400 옹스트롬 내지 약 500 옹스트롬 범위의 너비를 가지는 구조물들을 포함할 수 있다. 상기 물질층을 형성하는 단계는 실리콘-리치(silicon-rich) 물질층을 형성하는 단계를 포함할 수 있다. 상기 방법은 상기 제 1 및 제 2 레지스트 패턴을 제거하는 단계 이전에 상기 제 1 및 제 2 레지스트 패턴을 노출하도록 물질층을 식각하는 단계를 더 포함할 수 있다. 상기 물질층을 식각하는 단계는 CF4, C3F8, C4F8, CHF3, CH2F2 및 이것들의 조합으로 이루어진 군으로부터 선택되어진 식각액(etchant)을 이용할 수 있다.
본 발명은 또한 다른 실시예에서 이중 패터닝하는 리소그래피 방법을 제공한다. 상기 방법은 기판 위에 제 1 물질층을 형성하는 단계; 상기 제 1 물질 위에 복수의 개구부를 포함하는 제 1 레지스트 패턴을 형성하는 단계; 상기 제 1 레지스트 패턴을 경화하는 단계; 상기 제 1 레지스트 패턴을 경화한 후 상기 제 1 물질층 위에 제 2 레지스트 패턴을 형성하는 단계; 상기 제 1 물질층 위에 제 2 물질층을 형성하는 단계; 상기 제 2 물질층에 의해 차폐되지 않은 제 1 물질층이 노출되도록 상기 제 1 및 제 2 레지스트 패턴을 제거하는 단계; 및 상기 제 2 물질층을 마스크로 이용하여 상기 제 1 물질층을 식각하는 단계를 포함한다.
상기 방법에서, 상기 제 1 물질층을 형성하는 단계는 상기 기판 위의 유전 물질층을 형성하는 단계; 및 스핀-온(spin-on) 코팅에 의해 탄소 함유(carbon-containing) 물질층을 형성하는 단계를 포함할 수 있다. 상기 제 2 물질층을 형성하는 단계는 스핀-온(spin-on) 코팅 공정에 의해 실리콘-리치(silicon-rich) 유전 물질층을 형성하는 단계를 포함할 수 있다. 상기 실리콘-리치 유전층은 중량 백분 율에서 대략 40% 이상의 실리콘을 포함할 수 있다. 상기 방법은 상기 제 1 레지스트 패턴의 형성하는 단계 이전에 상기 제 1 물질층 위에 BARC(bottom anti-reflective coating)층을 형성하는 단계를 더 포함할 수 있다. 상기 방법은 상기 제 1 물질층을 식각하는 단계 이후에, 상기 제 2 물질층을 제거하는 단계를 더 포함할 수 있다. 상기 제 2 레지스트 패턴을 형성하는 단계는 상기 복수의 개구부 안에 배치되는 상기 제 2 레지스트 패턴을 형성하는 단계를 포함할 수 있다.
상기 본 발명은 또한 다른 실시예에서 이중 패터닝하는 리소그래피 방법을 제공한다. 상기 방법은 제 1 리소그래피 공정에 의해 기판 위에 이격된 복수의 제 1 레지스트 구조물들을 형성하는 단계; 제 2 리소그래피 공정에 의해 인접한 상기 제 1 레지스트 구조물들의 각 쌍의 사이에 위치하는 이격된 복수의 제 2 레지스트 구조물들을 형성하는 단계; 상기 기판 위에 물질층을 형성하는 단계; 상기 물질층에 의해 차폐되지 않은 기판이 노출되도록 상기 제 1 및 제 2 레지스트 구조물들을 제거하는 단계; 및 상기 물질층을 마스크로 이용하여 상기 기판을 식각하는 단계를 포함한다. 상기 방법은 상기 제 1 및 제 2 레지스트 구조물들을 제거하는 단계 이전에, 상기 물질층에 에치-백 공정(etch-back process)을 수행하는 단계를 더 포함할 수 있다. 상기 제 1 레지스트 구조물들 중 어느 하나부터 인접한 상기 제 2 레지스트 구조물까지의 형성된 피치는 대략 50 nm 이하일 수 있다. 상기 방법은 상기 복수의 제 2 레지스트 구조물들을 형성하는 단계 이전에, 상기 복수의 제 1 레지스트 구조물들을 경화하는 단계를 더 포함할 수 있다. 상기 방법은 상기 복수의 제 2 레지스트 구조물들을 형성하는 단계 이전에, 폴리머 물질을 사용하여 상기 복수의 제 1 레지스트 구조물들을 코팅하는 단계를 더 포함할 수 있다.
이상 이 분야에서 통상의 지식을 가진 자가 상세한 설명을 더욱 잘 이해할 수 있도록 여러 가지 실시예의 특징을 설명하였다. 이 분야에서 통상의 지식을 가진 자는 다른 공정을 설계 또는 변경하기 위한 기초로서, 또한 본 발명과 같은 목적을 수행하기 위한 및/또는 동일한 이점을 달성하기 위한 구성으로서 본 발명을 쉽사리 사용할 수 있음을 알 수 있을 것이다. 또한, 이 분야에서 통상의 지식이 있는 자는 본 발명의 범위와 의도에 벗어나지 않은 균등물을 실현할 수 있을 것이며, 본 발명의 범위와 의도에서 벗어나지 않고 본 발명을 고려하여 다양한 변형, 치환, 변경을 가할 수 있다.
본 발명은 첨부된 도면을 참조하여, 전술한 상세한 설명으로부터 가장 쉽게 이해된다. 실무 관행에 따라, 도면의 다양한 형상은 일정한 비례로 확대 또는 축소된 것은 아니다. 실제로, 도시된 형상의 치수는 설명을 명료하게 하기 위하여 임의적으로 확대 또는 축소될 수 있다.
도 1 내지 도 8은 다양한 제조 단계에 있는 반도체 소자의 일 실시예를 보여주는 단면도이다.
도 9는 리소그래피 패터닝 방법의 일 실시예를 보여주는 순서도이다.
도 10 및 11은 다양한 제조 단계에 있는 반도체 소자의 일 실시예를 보여주는 단면도이다.

Claims (15)

  1. 기판을 마련하는 단계;
    상기 기판 위에 제 1 물질층을 형성하는 단계;
    상기 제 1 물질층 위에 마스크층을 형성하는 단계;
    상기 마스크층 위에 반사방지 코팅(anti-reflective coating)층을 형성하는 단계;
    상기 반사방지 코팅층 위에 제 1 레지스트 패턴을 형성하는 단계로서, 상기 제 1 레지스트 패턴에 포함된 제 1 개구부가 상기 반사방지 코팅층을 노출시키는 단계;
    경화 공정을 이용하여 상기 제 1 레지스트 패턴을 경화하는 단계;
    상기 반사방지 코팅층 위의 상기 제 1 개구부에 제 2 레지스트 패턴을 형성하는 단계로서, 상기 제 2 레지스트 패턴을 형성하는 리소그래피 공정 동안에 상기 제 1 레지스트 패턴을 변형시키지 않고 상기 제 1 및 제 2 레지스트 패턴에 의해 형성된 제 2 개구부를 통해 상기 반사방지 코팅층을 노출시키는 단계;
    상기 반사방지 코팅층 위의 제 2 개구부에 실리콘-리치(silicon-rich) 상부 물질층을 형성하는 단계;
    상기 제 1 및 제 2 레지스트 패턴을 제거하는 단계; 및
    상기 반사방지 코팅층과 상기 마스크층을 식각하는 단계를 포함하는 패터닝 방법.
  2. 제 1 항에 있어서,
    상기 반사방지 코팅층과 상기 마스크층을 식각하는 단계는,
    상기 상부 물질층을 마스크로 사용하여 상기 반사방지 코팅층과 상기 마스크층을 식각하는 것을 특징으로 하는 패터닝 방법.
  3. 제 1 항에 있어서,
    상기 제 1 물질층은, 실리콘, 폴리실리콘, 유전물질, 도전물질 중 어느 하나이거나 이것들의 조합으로 이루어진 군으로부터 선택된 물질인 것을 특징으로 하는 패터닝 방법.
  4. 제 1 항에 있어서,
    상기 제 1 레지스트 패턴을 경화하는 단계는,
    열 경화, UV 경화, 전자빔 처리, 이온 주입 처리 및 이것들의 조합으로 이루어진 군으로부터 선택된 공정을 상기 제 1 레지스트 패턴에 적용하는 것을 특징으로 하는 패터닝 방법.
  5. 제 1 항에 있어서,
    상기 제 1 및 제 2 레지스트 패턴을 제거하는 단계 이전에,
    상기 제 1 및 제 2 레지스트 패턴이 노출되도록 상기 상부 물질층을 식각하는 단계를 더 포함하는 것을 특징으로 하는 패터닝 방법.
  6. 기판 위에 제 1 물질층을 형성하는 단계;
    상기 제 1 물질층 위에 복수의 개구부를 포함하는 제 1 레지스트 패턴을 형성하는 단계;
    열경화 방법을 이용하여 상기 제 1 레지스트 패턴을 경화하는 단계;
    상기 제 1 레지스트 패턴을 경화한 후에 상기 제 1 물질층 위에 제 2 레지스트 패턴을 형성하는 단계로서, 상기 제 2 레지스트 패턴을 형성하는 리소그래피 공정 동안에 상기 제 1 레지스트 패턴의 변형을 방지하는 단계;
    상기 제 1 물질층 위에 제 2 물질층을 형성하는 단계:
    상기 제 2 물질층에 의해 차폐되지 않은 상기 제 1 물질층이 노출되도록 상기 제 1 및 제 2 레지스트 패턴을 제거하는 단계; 및
    연결배선을 위한 콘택트 홀 또는 트렌치가 형성되도록 상기 제 2 물질층을 마스크로 이용하여 상기 제 1 물질층을 식각하는 단계;를 포함하고,
    상기 제 1 레지스트 패턴을 형성하는 단계 이전에, 상기 제 1 물질층 위에 BARC(bottom anti-reflective coating)층을 형성하는 단계를 더 포함하는 이중 패터닝 방법.
  7. 제 6 항에 있어서,
    상기 제 1 물질층을 형성하는 단계는,
    상기 기판 위에 유전 물질층을 형성하는 단계; 및
    스핀-온(spin-on) 코팅에 의해 탄소 함유(carbon-containing) 물질층을 형성하는 단계를 포함하는 것을 특징으로 하는 이중 패터닝 방법.
  8. 제 6 항에 있어서,
    상기 제 2 물질층을 형성하는 단계는,
    스핀-온(spin-on) 코팅 공정에 의해 실리콘-리치(silicon-rich) 유전 물질층을 형성하는 단계를 포함하는 것을 특징으로 하는 이중 패터닝 방법.
  9. 제 6 항에 있어서,
    상기 제 1 물질층을 식각하는 단계 이후에,
    상기 제 2 물질층을 제거하는 단계를 더 포함하는 것을 특징으로 하는 이중 패터닝 방법.
  10. 제 6 항에 있어서,
    상기 제 2 레지스트 패턴을 형성하는 단계는,
    상기 복수의 개구부 내부에 배치되는 상기 제 2 레지스트 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 이중 패터닝 방법.
  11. 제 1 리소그래피 공정에 의해 기판 위에 이격된 복수의 제 1 레지스트 구조물들을 형성하는 단계;
    UV 경화, 이온 주입 충격(ion implant bombard), 전자빔 처리 또는 이것들이 조합된 공정을 이용하여 상기 복수의 제 1 레지스트 구조물들을 경화하는 단계;
    상기 복수의 제 1 레지스트 구조물들을 경화하는 단계 이후에, 제 2 리소그래피 공정에 의해 인접한 상기 제 1 레지스트 구조물들의 각 쌍의 사이에 위치하는 이격된 복수의 제 2 레지스트 구조물들을 형성하는 단계;
    상기 기판 위에 물질층을 형성하는 단계;
    상기 물질층에 의해 차폐되지 않은 기판이 노출되도록 상기 제 1 및 제 2 레지스트 구조물들을 제거하는 단계; 및
    연결배선을 위한 콘택트 홀 또는 트렌치가 형성되도록 상기 물질층을 마스크로 이용하여 상기 기판을 식각하는 단계;를 포함하고,
    상기 제 1 및 제 2 레지스트 구조물들을 제거하는 단계 이전에, 상기 물질층에 에치-백 공정(etch-back process)을 수행하는 단계를 더 포함하고,
    상기 복수의 제 2 레지스트 구조물들을 형성하는 단계 이전에, 폴리머 물질로 상기 복수의 제 1 레지스트 구조물들을 코팅하는 단계를 더 포함하는 것을 특징으로 하는 이중 패터닝 방법.
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
KR1020080101996A 2007-11-30 2008-10-17 콘택트 홀과 트렌치를 위한 이중 패터닝 방법 KR101208461B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/948,444 2007-11-30
US11/948,444 US7935477B2 (en) 2007-11-30 2007-11-30 Double patterning strategy for contact hole and trench

Publications (2)

Publication Number Publication Date
KR20090056814A KR20090056814A (ko) 2009-06-03
KR101208461B1 true KR101208461B1 (ko) 2012-12-05

Family

ID=40676086

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080101996A KR101208461B1 (ko) 2007-11-30 2008-10-17 콘택트 홀과 트렌치를 위한 이중 패터닝 방법

Country Status (5)

Country Link
US (1) US7935477B2 (ko)
JP (1) JP4885930B2 (ko)
KR (1) KR101208461B1 (ko)
CN (1) CN101446760B (ko)
TW (1) TWI424469B (ko)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048616B2 (en) 2008-03-12 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US8741552B2 (en) * 2009-02-11 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
TWI452419B (zh) * 2008-01-28 2014-09-11 Az Electronic Mat Ip Japan Kk 細微圖案光罩及其製造方法、及使用其之細微圖案形成方法
US20090253080A1 (en) * 2008-04-02 2009-10-08 Dammel Ralph R Photoresist Image-Forming Process Using Double Patterning
US20090253081A1 (en) * 2008-04-02 2009-10-08 David Abdallah Process for Shrinking Dimensions Between Photoresist Pattern Comprising a Pattern Hardening Step
JP5101541B2 (ja) * 2008-05-15 2012-12-19 信越化学工業株式会社 パターン形成方法
US20100040838A1 (en) * 2008-08-15 2010-02-18 Abdallah David J Hardmask Process for Forming a Reverse Tone Image
US20100183851A1 (en) * 2009-01-21 2010-07-22 Yi Cao Photoresist Image-forming Process Using Double Patterning
US8084186B2 (en) * 2009-02-10 2011-12-27 Az Electronic Materials Usa Corp. Hardmask process for forming a reverse tone image using polysilazane
US8822347B2 (en) * 2009-04-27 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Wet soluble lithography
US8303831B2 (en) * 2009-07-20 2012-11-06 GlobalFoundries, Inc. Methods for fabricating semiconductor devices
US8026178B2 (en) * 2010-01-12 2011-09-27 Sandisk 3D Llc Patterning method for high density pillar structures
JP5427104B2 (ja) * 2010-05-11 2014-02-26 パナソニック株式会社 パターン形成方法
US8211807B2 (en) * 2010-10-19 2012-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning technology using single-patterning-spacer-technique
CN102468188B (zh) * 2010-11-19 2015-03-18 旺宏电子股份有限公司 一种半导体蚀刻方法
CN102759861A (zh) * 2011-04-28 2012-10-31 中芯国际集成电路制造(上海)有限公司 一种用于集成电路制造中改进光刻的方法
TWI492298B (zh) * 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
CN103390551B (zh) * 2012-05-10 2017-03-01 联华电子股份有限公司 半导体装置图案化结构的制作方法
CN102969235B (zh) * 2012-12-03 2017-07-25 上海集成电路研发中心有限公司 一种小尺寸线端间距的形成方法
CN103441066B (zh) * 2013-08-16 2016-01-27 上海华力微电子有限公司 基于darc掩膜结构的栅极lele双重图形成型方法
CN103439862B (zh) 2013-08-16 2016-04-27 上海华力微电子有限公司 栅极lele双重图形成型方法
US9524902B2 (en) * 2013-12-12 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming integrated circuit with conductive line having line-ends
CN105720002B (zh) * 2014-12-03 2019-04-23 北京北方华创微电子装备有限公司 斜孔刻蚀方法
JP2016206449A (ja) * 2015-04-23 2016-12-08 株式会社東芝 パターン形成方法
US9589964B1 (en) 2015-06-24 2017-03-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US10515847B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming vias and method for forming contacts in vias
CN110828460B (zh) * 2018-08-14 2022-07-19 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
CN111092013B (zh) * 2018-10-23 2022-07-19 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US11329089B1 (en) 2019-06-07 2022-05-10 Gigajot Technology, Inc. Image sensor with multi-patterned isolation well
US11830744B1 (en) * 2022-05-31 2023-11-28 Nanya Technology Corporation Method of preparing active areas

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62166520A (ja) 1986-01-20 1987-07-23 Nec Corp 微細パタ−ンのパタ−ニング法
JPH0253060A (ja) 1988-08-18 1990-02-22 Matsushita Electron Corp 半導体装置の製造方法
JPH02125620A (ja) 1988-11-05 1990-05-14 Mitsubishi Electric Corp パターン形成方法
JPH03270227A (ja) 1990-03-20 1991-12-02 Mitsubishi Electric Corp 微細パターンの形成方法
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2919004B2 (ja) 1990-07-12 1999-07-12 沖電気工業株式会社 パターン形成方法
JPH05136033A (ja) 1991-11-13 1993-06-01 Hitachi Ltd パターン形成方法及びその装置
US5667940A (en) * 1994-05-11 1997-09-16 United Microelectronics Corporation Process for creating high density integrated circuits utilizing double coating photoresist mask
JPH10150027A (ja) 1996-11-19 1998-06-02 Nittetsu Semiconductor Kk 半導体装置の微細レジストパターンの形成方法
US6221562B1 (en) * 1998-11-13 2001-04-24 International Business Machines Corporation Resist image reversal by means of spun-on-glass
KR20000045425A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 미세패턴 형성방법
JP3974295B2 (ja) 1999-09-24 2007-09-12 株式会社東芝 パターン形成方法
JP2001251038A (ja) 2000-03-03 2001-09-14 Nippon Mektron Ltd 回路基板のレジストパタ−ン形成法
US7399709B1 (en) * 2002-09-27 2008-07-15 Taiwan Semiconductor Manufacturing Co., Ltd. Complementary replacement of material
JP2004335873A (ja) * 2003-05-09 2004-11-25 Toshiba Corp パターン形成方法
JP3884415B2 (ja) 2003-07-22 2007-02-21 株式会社東芝 パターン形成方法及び半導体装置の製造方法
JP2005173353A (ja) * 2003-12-12 2005-06-30 Elpida Memory Inc レジストパターン形成方法
KR100811431B1 (ko) * 2005-12-28 2008-03-07 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7959818B2 (en) 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device
JP2009053547A (ja) 2007-08-28 2009-03-12 Tokyo Ohka Kogyo Co Ltd パターン形成方法及び被覆膜形成用材料
WO2009054413A1 (ja) 2007-10-25 2009-04-30 Nissan Chemical Industries, Ltd. 半導体装置の作製方法
KR101384814B1 (ko) 2007-12-14 2014-04-14 제이에스알 가부시끼가이샤 패턴 형성 방법
JP2009194248A (ja) 2008-02-15 2009-08-27 Tokyo Electron Ltd パターン形成方法、半導体製造装置及び記憶媒体

Also Published As

Publication number Publication date
CN101446760A (zh) 2009-06-03
TWI424469B (zh) 2014-01-21
US7935477B2 (en) 2011-05-03
JP4885930B2 (ja) 2012-02-29
CN101446760B (zh) 2012-01-18
JP2009135462A (ja) 2009-06-18
KR20090056814A (ko) 2009-06-03
US20090142701A1 (en) 2009-06-04
TW200924024A (en) 2009-06-01

Similar Documents

Publication Publication Date Title
KR101208461B1 (ko) 콘택트 홀과 트렌치를 위한 이중 패터닝 방법
US8222151B2 (en) Double patterning strategy for contact hole and trench in photolithography
KR101079014B1 (ko) 포토리소그래피에 의한 콘택 홀 및 트렌치를 위한 이중 패터닝 방법
US8039195B2 (en) Si device making method by using a novel material for packing and unpacking process
US8940643B2 (en) Double patterning strategy for contact hole and trench in photolithography
US8470708B2 (en) Double patterning strategy for contact hole and trench in photolithography
US8258056B2 (en) Method and material for forming a double exposure lithography pattern
US9323155B2 (en) Double patterning strategy for contact hole and trench in photolithography
US7759253B2 (en) Method and material for forming a double exposure lithography pattern
US8313889B2 (en) Double patterning method using metallic compound mask layer
KR20070005912A (ko) 가변 반사방지 코팅을 포함하는 구조 및 그의 형성 방법
JP2008227465A (ja) 半導体装置の製造方法
CN108231548B (zh) 半导体装置的制作方法
US20110059407A1 (en) Double patterning strategy for forming fine patterns in photolithography
US7642184B2 (en) Method for dual damascene process
TWI515768B (zh) 微影圖案化方法及雙重圖案化方法
US20090269935A1 (en) Method of Forming Pattern of Semiconductor Device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B601 Maintenance of original decision after re-examination before a trial
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20151109

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161111

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20171110

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191114

Year of fee payment: 8