KR20080071151A - 고화된 임프린팅 재료로부터 몰드를 분리하는 기술 - Google Patents

고화된 임프린팅 재료로부터 몰드를 분리하는 기술 Download PDF

Info

Publication number
KR20080071151A
KR20080071151A KR1020087012782A KR20087012782A KR20080071151A KR 20080071151 A KR20080071151 A KR 20080071151A KR 1020087012782 A KR1020087012782 A KR 1020087012782A KR 20087012782 A KR20087012782 A KR 20087012782A KR 20080071151 A KR20080071151 A KR 20080071151A
Authority
KR
South Korea
Prior art keywords
mold
substrate
template
imprinting material
filling
Prior art date
Application number
KR1020087012782A
Other languages
English (en)
Other versions
KR101340922B1 (ko
Inventor
마하데반 가나파티서브라마니안
병진 최
마이클 엔. 밀러
니콜라스 에이. 스테이시
마이클 피. 씨. 와츠
Original Assignee
몰레큘러 임프린츠 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/292,568 external-priority patent/US7803308B2/en
Application filed by 몰레큘러 임프린츠 인코퍼레이티드 filed Critical 몰레큘러 임프린츠 인코퍼레이티드
Publication of KR20080071151A publication Critical patent/KR20080071151A/ko
Application granted granted Critical
Publication of KR101340922B1 publication Critical patent/KR101340922B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C59/00Surface shaping of articles, e.g. embossing; Apparatus therefor
    • B29C59/02Surface shaping of articles, e.g. embossing; Apparatus therefor by mechanical means, e.g. pressing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C33/00Moulds or cores; Details thereof or accessories therefor
    • B29C33/44Moulds or cores; Details thereof or accessories therefor with means for, or specially constructed to facilitate, the removal of articles, e.g. of undercut articles
    • B29C33/442Moulds or cores; Details thereof or accessories therefor with means for, or specially constructed to facilitate, the removal of articles, e.g. of undercut articles with mechanical ejector or drive means therefor
    • B29C33/444Moulds or cores; Details thereof or accessories therefor with means for, or specially constructed to facilitate, the removal of articles, e.g. of undercut articles with mechanical ejector or drive means therefor for stripping articles from a mould core, e.g. using stripper plates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/003Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/32Component parts, details or accessories; Auxiliary operations
    • B29C43/50Removing moulded articles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C69/00Combinations of shaping techniques not provided for in a single one of main groups B29C39/00 - B29C67/00, e.g. associations of moulding and joining techniques; Apparatus therefore
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41FPRINTING MACHINES OR PRESSES
    • B41F1/00Platen presses, i.e. presses in which printing is effected by at least one essentially-flat pressure-applying member co-operating with a flat type-bed
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • B29C2043/023Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
    • B29C2043/025Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Moulds For Moulding Plastics Or The Like (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명은 몰드가 포함되는 템플레이트(214)에서 변형을 조장하는 것을 포함하는 고화된 임프린팅 재료로부터 몰드(136)를 분리하는 방법을 제공한다. 변형(P2)은 고화된 임프린팅 재료와 몰드 사이의 부착력보다 더 큰 복귀력을 조장하기에 충분하다. 예를 들면, 변형은 몰드와 몰드에 반대쪽에 배치된 템플레이트의 측면과의 사이의 폄프 시스템(546)에 의해 조장된 압력 차이로부터 결과될 수도 있다. 이런 식으로, 뒤틀림은 고화된 임프린팅 재료가 배치되는 기판과 접촉하기에 충분한 크기의 템플레이트에서 파동부일 수도 있다.
Figure 112008038012972-PCT00001
몰드, 분리, 변형, 템플레이트, 복귀력, 임프린팅 재료, 펌프.

Description

고화된 임프린팅 재료로부터 몰드를 분리하는 기술{TECHNIQUE FOR SEPARATING A MOLD FROM SOLIDIFIED IMPRINTING MATERIAL}
본 발명은 일반적으로 구조물의 나노제작에 관한 것이다. 더 구체적으로 본 발명은 임프린팅 리소그래피 공정에서 사용되는 접촉 임프린팅을 개선하는 방법에 관한 것이다.
나노 규모 제작은 예를 들면 1 나노미터 이상의 차수의 특징들을 갖는 매우 작은 구조물의 제작을 수반한다. 나노 규모 제작에 사용하기 위한 유망한 공정은 임프린트 리소그래피로 알려져 있다. 예가 되는 임프린트 리소그래피 공정은 다음과 같은 수많은 문헌들에 상세히 기술되어 있다: 미국 특허 출원 10/264,960로 출원된 미국 공개 특허 출원 2004/0065976 (발명의 명칭 "Method and a Mold to Arrange Features on a Substrate to Replicate Features having Minimal Dimensional Variability"); 미국 특허 출원 10/264,926으로 출원된 미국 공개 특허 출원 2004-0065252 (발명의 명칭 "Method of Forming a Layer on a Substrate to Facilitate Fabrication of Metrology Standards"); 그리고 미국 특허 번호 6,936,194 (발명의 명칭 "Method and a Mold to Arrange Features on a Substrate to Replicate Features having Minimal Dimensions Variability"); 이것들은 모두 본 발명의 출원인에게 양도되어 있다.
도 1을 참조하면, 임프린트 리소그래피에 숨은 기본 개념은 특히 에칭 마스크로서 기능하는 기판상의 릴리프 패턴을 형성하여, 패턴이 릴리프 패턴에 대응하는 기판에 형성될 수 있도록 하는 것이다. 릴리프 패턴을 형성하기 위해 사용되는 시스템(10)은 스테이지(11)를 포함하고, 그 위에 기판(12)이 지지되어 있고, 템플레이트(14)는 패터닝 표면(18)이 위에 있는 몰드(16)을 갖는다. 패터닝 표면(18)은 실질적으로 매끄럽거나 및/또는 편평할 수도 있고, 또는 하나 이상의 후미부가 거기에 형성되도록 패터닝되어 있을 수도 있다. 템플레이트(14)는 템플레이트(14)의 이동을 용이하게 하기 위해 임프린트 헤드(20)에 커플링되어 있다. 유체 분배 시스템(22)은 중합가능 재료(24)가 위에 부착되도록 기판(12)과 선택적으로 유체 연통되어 놓이도록 커플링되어 있다. 에너지(28)의 에너지원(26)은 에너지(28)를 통로(30)를 따라 향하게 하도록 커플링되어 있다. 임프린트 헤드(20)와 스테이지(11)는 몰드(16)와 기판(12)을 각각 겹쳐 놓이도록 배열하도록 구성되고 통로(30)에 배치된다. 임프린트 헤드(20)나, 스테이지(11)나, 아니면 둘다는 몰드(16)와 기판(12)간의 거리를 다양하게 하여 중합가능 재료(24)에 의해 채워지는 그들 간의 원하는 부피를 규정하도록 한다. 기판(12)과 스테이지(11)의 상대적인 위치는 표준 처킹 기술을 사용하여 유지된다. 예를 들면, 스테이지(11)는 진공 서플라이(도시 않음)에 커플링된 핀 척(도시않음)과 같은 진공 척을 포함할 수도 있다.
전형적으로, 중합가능 재료(24)는 몰드(16)와 기판(12) 간에 원하는 부피가 규정되기 전에 기판(12)에 배치된다. 그러나, 중합가능 재료(24)는 원하는 부피가 얻어진 후에 부피를 채울 수도 있다. 원하는 부피가 중합가능 재료(24)로 채워진 후에, 에너지원(26)은 에너지(28)를 내고, 이것이 기판 표면(25)과 몰드 표면(18)의 형태를 야기한다. 이 과정의 제어는 메모리(34)에 저장된 컴퓨터 판독가능 프로그램에서 작동하는, 스테이지(11), 임프린트 헤드(20), 유체 분배 시스템(22), 및 에너지원(26)과 데이터 통신하고 있는 프로세서(32)에 의해 조정된다.
중합가능 재료(24)에 패턴을 정확하게 형성하는 중요한 특징은 중합 가능 재료(24)에서 형성된 패턴의 치수가 제어되는 것을 보장하는 것이다. 그렇지 않으면, 아래 놓이는 기판에 에칭된 특징들에 뒤틀림이 결과될 수도 있다.
그러므로, 접촉 리소그래피 공정에 사용되는 임프린팅 기술을 개선할 필요가 존재한다.
발명의 개요
본 발명은 몰드가 포함되는 템플레이트에서 변형을 조장하는 것을 포함하는 고화된 임프린팅 재료로부터 몰드를 분리하는 방법에 관한 것이다. 변형은 고화된 임프린팅 재료와 몰드 간에 강제되는 접착보다 더 큰 복귀력을 조장하기에 충분하다. 예를 들면, 변형은 몰드와 몰드의 반대쪽에 배치된 템플레이트의 측면 간에 조장된 압력 차이로부터 결과될 수도 있다. 이 방식으로, 뒤틀림은 고화된 임프린팅 재료가 배치되는 기판을 접촉하기에 충분한 크기의 템플레이트에서 파동부일 수도 있다. 이들 및 다른 구체예들이 여기에 기술되어 있다.
도 1은 종래 기술에 따르는 리소그래피 시스템의 단순화된 평면도이다.
도 2는 본 발명에 따르는 기판상에 배치된 템플레이트와 임프린팅 재료의 단순화된 평면도이다.
도 3은 도 2에 나타낸 템플레이트와 기판의 단순화된 평면도이고, 임프린팅 재료는 기판 위에 패터닝되고 고화된 것으로서 나타나 있다.
도 4는 본 발명에 따라 도 2 및 도 3에 나타낸 기판의 상세도이다.
도 5는 임프린팅 재료의 고화된 형성이 위에 배치된 도 4에 나타낸 기판의 상세도이다.
도 6은 기판의 영역들을 노출하기 위해 에칭 화학을 시킨 후의 도 5에 나타낸 기판의 상세도이다.
도 7은 고화된 임프린팅 재료의 에칭 및 제거를 시킨 후의 도 6에 나타낸 기판의 상세도이다.
도 8은 본 발명에 따르는 가요성 템플레이트의 단면도이다,
도 9는 본 발명에 따르는 도 4에 나타낸 기판에 배치된 중합가능 재료를 임프린팅하는 도 8에 나타낸 몰드의 단면도이다.
도 10은 기판의 형태에 순응하기 전의 도 9에 나타낸 몰드의 상세도이다.
도 11은 기판의 영역들을 노출하기 위해 에칭 화학을 시킨 후의 도 9에 나타낸 기판의 상세도이다.
도 12는 고화된 임프린팅 재료의 에칭 및 제거를 시킨 후의 도 9에 나타낸 기판의 상세도이다.
도 13은 본 발명의 대안의 구체예에 따르는, 도 8에 나타낸 가요성 템플레이트의 단면도이다.
도 14는 본 발명의 제 2의 대안의 구체예에 따르는, 도 8에 나타낸 가요성 템플레이트의 단면도이다.
도 15는 본 발명의 제 3의 대안의 구체예에 따르는, 도 8에 나타낸 가요성 템플레이트의 단면도이다.
도 16은 본 발명에 따르는, 도 12에 나타낸 템플레이트를 사용하는 예시 임프린팅 조작을 나타내는 흐름도이다.
도 17은 기판에 가까이에 배치된, 도 13에 나타낸 템플레이트를 보유하기 위해 사용된 처킹 시스템의 단순화된 평면도이다.
도 18은 도 17에 나타낸 척 본체의 저부에서 본 도면이다.
도 19는 본 발명에 따르는, 도 1에 나타낸 임프린트 헤드에 포함된 구성요소들의 분해 사시도이다.
도 20은 도 19에 나타낸 구성요소들의 저부 사시도이다.
도 21은 기판에 존재하는 고화된 임프린팅 재료로부터 템플레이트의 분리를 용이하게 하기 위해 템플레이트가 변형을 당하는 도 17에 나타낸 처킹 시스템의 단순화된 평면도이다.
도 22는 대안의 구체예에 따르는 도 21에 나타낸 영역(217)의 상세도이다.
도 23은 도 21에 나타낸 템플레이트(214)의 간단한 평면도이다.
도 24는 형성물(50)으로부터 분리를 당하는 도 21의 템플레이트를 나타내는 상세 단면도이다.
도 25는 도 21에 나타낸 템플레이트의 단순화된 단면도이다
도 1 및 2를 참조하면, 본 발명에 따르는 몰드(36)는 시스템(10)에서 사용될 수도 있고, 실질적으로 매끄럽거나 평면의 프로파일(도시않음)을 갖는 표면을 규정할 수도 있다. 대안으로, 몰드(36)는 복수의 간격져 떨어진 후미부(38)와 돌출부(40)에 의해 규정된 특징들을 포함할 수도 있다. 복수의 특징들이 기판(42)으로 전사할 원래의 패턴을 규정한다. 기판(42)은 웨이퍼만으로 이루어질 수도 있고 또는 하나 이상의 층들이 위에 배치된 웨이퍼일 수도 있다. 이 목적으로, 몰드(36)와 기판(42) 간의 거리 "d"를 감소시킨다. 이런 식으로, 몰드(36) 상의 특징들은 실질적으로 평면의 프로파일을 나타내는 표면(44)의 일부에 배치된 중합가능 재료(24)와 같은 임프린팅 재료에 임프린팅될 수도 있다. 기판(42)은 실리콘 웨이퍼(48) 만일 수도 있고, 또는 프라이머 층(45)으로서 나타낸 천연 산화물 또는 하나 이상의 층들을 포함할 수도 있다. 본 실시예에서, 기판(42)은 프라이머 층(45)을 포함하는 것에 관하여 논의된다. 프라이머 층(45)과 중합가능 재료(42)가 형성될 수도 있는 예가 되는 조성물은 2005년 7월 22일에 출원된 미국 특허 출원 번호 11/187,406 (발명의 명칭: COMPOSITION FOR ADHERING MATERIALS TOGETHER, 발명자: Frank Xu, 본발명의 출원인에게 양도됨)에 논의되어 있고 여기에 참고로 포함된다.
도 2 및 도 3을 모두 참고하면, 임프린팅 재료는 어떤 공지의 기술, 예를 들면, 스핀 코팅, 딥 코팅 등을 사용하여 부착시킬 수 있다. 그러나, 본 실시예에서, 임프린팅 재료는 기판(42)에 다수의 간격져 떨어진 점적들(46)로서 부착되어 있다. 임프린팅 재료는 선택적으로 중합되고 가교결합되어 거기에 원래의 패턴을 기록하여 기록된 패턴을 규정할 수 있는 조성물로부터 형성된다.
상세히는, 임프린팅 재료에 기록된 패턴은 몰드(36)와의 상호작용에 의해, 예를 들면 전기적 상호작용, 자기적 상호작용, 열 상호작용, 기계적 상호작용 등에 의해 부분적으로 제조된다. 본 실시예에서, 몰드(36)는 형성물(50)로 고화되는 표면(44) 위에 임프린팅 재료의 인접 층을 발생시키도록 펼쳐지는 점적들(46)을 임프린팅 재료와 기계적인 접촉을 하게 한다. 형성물(50)은 돌출부(52)와 후미진 영역(54)을 포함한다. 형성물(50)의 높이 두께 t1은 돌출부(52)에 의해 규정된다. 후미진 영역(54)은 형성물(50)의 잔류 두께 t2를 규정한다. 한 구체예에서는, 거리 "d"는 후미부(38)로 진입하여 채우도록 허용하기 위해 감소되어 있다. 몰드(36)와 점적(46) 간의 접촉 전에 후미부(38)를 채우는 것을 용이하게 하기 위해, 몰드(36)와 점적(46) 간의 분위기를 헬륨으로 포화시키거나 완전히 비우거나 부분 진공의 헬륨 분위기로 한다.
도 2, 도 3 및 도 4를 참고하면, 본 발명에 의해 해결되는 문제는 원하는 거리(d)에 도달한 후 두께 t1 및 t2를 제어하는 것에 관련된다. 상세하게는, 몰드(36)의 특징들의 예로 든 치수, 예를 들면, 돌출부(40)의 폭(W1)과 후미부(38)의 폭(W2)은 30 내지 100 나노미터가 될 수 있다. 두께 t1을 갖는 높이는 400 나노미터 내지 1 마이크로미터 ± 20-80 나노미터의 범위일 수 있다. 잔류 두께 t2는 400 나노미터 내지 1 마이크로미터 ± 20-80 나노미터의 범위일 수 있다. 따라서, 저부 표면(55)으로부터 측정된 돌출부(52)의 높이는 40 내지 140 나노미터의 범위이다. 그 결과, 표면(44)은 비평면 프로파일을 나타내는데, 예를 들면, 파동부가 언덕(56)과 고랑(57)으로서 존재한다. 파동부는 두께 t1 및 t2를 제어하는 것에 문제를 만든다.
도 3, 도 4 및 도 5를 참고하면, 파동부는 두께 t1이 형성물(150)의 면적에 걸쳐 실질적으로 같고 두께 t2가 형성물(150)의 면적에 걸쳐 실질적으로 같음을 보장하기가 어렵게 만든다. 예를 들면, 임프린팅 재료를 고화시킨 후, 형성물(150)이 이들 영역에서 형성되고 그 위에 걸쳐 두께 t1이 다양하고 두께 t2가 다양하게 형성된다. 예를 들면, 영역(58)에서 특징들은 높이 두께 t'1±δt'1와 잔류 두께 t'2±δt'2를 가지며, 여기서 δt'1 및 δt'2는 영역(58)과 겹쳐 있는 표면(44)의 곡률로 인해 각각 두께 t'1 및 t'2의 변동으로부터 결과된다. 마찬가지로, 영역(60)에서 특징들은 높이 두께 t"1±δt"1와 잔류 두께 t"2±δt"2를 가지며, 여기서 δt"1 및 δt"2는 영역(60)과 겹쳐 있는 표면(44)의 곡률로 인해 각각 두께 t"1 및 t"2의 변동에 해당한다.
도 5, 도 6 및 도 7을 참고하면, t'1±δt'1보다 더 큰 잔류 두께들 t'2±δt'2와 t"2±δt"2 간에 차이가 있으면, 기판(42)에서 형성된 패턴에서 뒤틀림이 일어날 것이다. 이것은 형성물(150)이 돌파 에칭을 당한 후 기판(42)의 영역들(62, 64 및 66)을 노출시켰음을 알 수 있다. 영역들(62, 64 및 66)의 에칭을 시작하는 것이 바람직하다면, 결과는 후미부(68, 70 및 72)일 것이며, 돌파 에칭의 동안에 기판(42)의 미노출로부터 결과되는 크게 미패터닝된 영역(74)이 존재한다. 이것은 바람직하지 않다. 기판(42)의 영역(84)을 패터닝하는 것이 요망된다면 형성물(150)의 에칭은 영역(60)의 돌파가 일어날 때까지 일어날 것이다. 이것은 실질적으로 영역(58)의 모든 특징들을 제거되게 할 것이다. 그 결과, 기판(42)의 큰 영역들이 특히 마스킹 재료의 부재로 인해 미패터닝되어 남아 있을 것이다.
도 3, 도 4 및 도 8을 참고하면, 그만두지 않는다면, 파동부에 의해 제공된 문제들을 감소시키기 위해, 몰드(136)를 포함하는 템플레이트(114)는 표면(44)에 순응하도록 만들어진다. 이 방법으로, 몰드(136)는 파동부의 존재에 반응하여 순응하여, 이로써 형성물(50)의 면적에 걸쳐 두께 t1 중의 변동과, 두께 t2 중의 변동을 최소화할 수 있다. 이 목적으로, 템플레이트(114)는 대략 1.5 밀리미터까지의, 반대 측면들(115 및 116)로부터 측정된 두께(113)를 갖는 비교적 얇은 용융 실리카 시트로부터 제작되며, 대략 0.7 밀리미터가 바람직하다. 0.7 밀리미터의 두께로, 템플레이트(114)의 면적을 대략 4,225 제곱 밀리미터이도록 확립함으로써 가요성이 제공된다. 몰드(136)의 면적은 어떤 원하는 것도 될 수 있는데, 예를 들면 625 제곱 밀리미터로부터 기판(42)의 면적으로 연장될 수도 있다.
도 8 내지 12를 참고하면, 템플레이트(114)의 순응성은 두께(t1 및 t2)의 제어가 파동부의 면에서 달성되도록 하는 기능성을 몰드(136)에 제공한다. 구체적으로, 몰드(136)는 임프린팅 재료를 접촉하여 형성물(250)이 형성되도록 한다. 형성물(250)은 기판(42)에 대해 놓여있는 제 1 표면(252)을 갖고 파동부의 존재하에 기판(42)의 표면(44)의 프로파일을 매칭하는 프로파일을 갖는다. 그러나, 가요성 몰드(236)에 의해 제공된 곤란성은 점적들(46)에서 몰드(136)와 중합가능 재료 간의 모세관 힘의 발생으로부터 결과된다. 중합가능 재료의 제 1 소부분, 예를 들면 영역(158)에서 점적들(46)과의 몰드(136)에 의한 접촉시, 몰드(136)와 중합가능 재료 간에 모세관 힘이 발생된다. 그러나, 모세관 힘은 중합가능 재료의 나머지 소부분, 예를 들면 영역(160 및 161)에서의 점적들에서 실질적으로 존재하지 않는다. 형성물(250)을 형성하기 위해서는, 유체 압력을 측면(115)에 적용하여 템플레이트(114)를 변형하고, 따라서, 몰드(136)를 변형시키므로, 그것이 영역(160 및 161)에서 점적들(46)을 접촉하도록 한다.
몰드(136)의 가요성의 결과로서, 두께(t1 및 t2)의 제어가 달성되어 두께 t1이 실질적으로 균일하다고 할 수 있는 명시된 허용오차 ±δt1 내에 있게 된다. 마찬가지로 두께 t2는 그것이 명시된 허용오차 ±δt2 내에 있다는 점에서 실질적으로 균일하다. 허용오차는 표면(44)에 순응하는 몰드(136)로부터 결과되는 특징들에서의 뒤틀림으로부터 결과된다. 그러나, 그것은 δt1 및 δt2를 25 밀리미터 면적에 걸쳐 5 나노미터와 같거나 그 미만이도록 유지함으로써 몰드(136)의 순응가능성으로부터 결과되는 뒤틀림이 허용된다는 것이 결정되었다. 상세히는, 형성물(250)의 돌파 에치(break-through-etch) 후에 기판(42)의 전체 면적에 걸쳐 영역(162)이 노출된다. 그 후, 기판의 전체 표면의 패터닝이 일어날 수도 있고, 후미부(164)로서 나타내었다. 이런 식으로, 전체 기판(42)은 패터닝시킬 기판(42)의 면적에 걸쳐 다양하게 되는 두께 t2뿐만 아니라 그 면적에 걸쳐 갖는 두께 t1과 연관된 문제를 극복하도록 패터닝된다.
도 8 및 도 13을 참고하면, 템플레이트(114)는 표면(116)을 따라 공통의 평면(P)에 누워있는 돌출부들을 갖는 몰드(136)를 갖는 것으로 나타나 있으나, 다른 템플레이트가 사용될 수도 있다. 예를 들면, 템플레이트(214)는 몰드(236)를 구현하는 메사(235)를 포함할 수도 있다. 전형적으로, 메사(235)의 높이, h는 표면(216)으로부터 돌출부(240)의 상표면까지 측정한 바, 대략 15마이크로미터이다.
도 8 및 도 14를 모두 참고하면, 또 다른 구체예에서, 템플레이트(314)는 몰드(336)가 이행 채널(337)에 의해 둘러싸인 것을 제외하고는 템플레이트(114)와 실질적으로 동일하다. 이행 채널(337)은 또한 후미부(338)보다는 측면(316)으로부터 더 연장된다. 여전히 또 다른 구체예에서, 도 15에 나타낸 템플레이트(414)는 몰드(436)의 외부에 놓인 측면(416)의 영역들이 후미부(438)와 공평면인 것을 제외하고는 도 8에 나타낸 템플레이트(114)와 실질적으로 동일하다.
도 4, 도 13 및 도 16을 참조하면, 예가 되는 조작의 동안에 템플레이트(214)와 기판(42)이 예를 들어서, 스텝 500에서 1밀리미터 내에서 서로에 가깝게 놓인다. 스텝 502에서, 템플레이트(214)는 기판(42)에 면하는 측면(216)과 따라서 몰드(236)는 둘다 볼록한 형태를 갖도록 굽어 있고, 굽은 템플레이트를 규정한다. 구체적으로, 몰드(236)의 중립 축, N은 중심 부분이 중립 축(N)으로부터 떨어져서 350-400 마이크로미터를 움직여서 곡면 형태를 갖도록 굽어 있다. 스텝 504에서, 굽은 템플레이트와 기판(42) 간의 상대적 거리는 굽은 몰드(236)가 임프린팅 재료의 하나 이상의 점적(46)과 접촉하여 놓이고 이어서 몰드(236)와 기판(42) 간에 압착하에 배치된 임프린팅 재료의 형태에 순응하도록 감소된다. 전형적으로, 몰드(236)는 임프린팅 재료를 접촉하기 전에 기판(42)에 관하여 중심 맞춰 있다. 몰드(236)의 중심 부분(233)은 패터닝시킬 기판(42)의 면적에 관하여 중심 맞춰 있다. 이 실시예에서, 기판(44)의 거의 전체 표면(44)이 패터닝된다. 패터닝할 기판(42)의 면적의 치수는 형성물(250)의 두께와 점적들(46)에서 중합가능 재료의 집성물 부피에 의해 규정된다. 그 결과, 몰드(236)의 면적은 기판(42)의 면적보다 크거나, 작거나 그와 같을 수도 있다. 전형적으로, 몰드(236)의 중심 부분(233)은 면적(도시않음)의 중심을 접촉하고, 임프린팅 영역의 나머지 부분은 이어서 비중심 부분에 의해 접촉된다.
스텝 506에서, 유체 압력은 측면(115)에 가해져서, 그만두지 않는다면, 형성물(150)의 면적의 두께 t1 중의 변동과, 형성물(150)의 면적에 걸쳐 두께 t2 중의 변동을 약화시킨다. 구체적으로, 측면(115)은 몰드(236)와 기판(42) 사이의 임프린팅 재료를 임프린팅 재료가 더 이상 압착을 당할 수 없을 정도의 상태로 압착하기에 충분한 크기의 유체 압력을 받게 한다. 이 상태에서, 임프린팅 재료는 그것이 고형물로서 작용한다는 점에서 점탄성 성질을 나타낸다. 또한, 점탄성 상태에서 임프린팅 재료는 임프린팅 재료에 면하는 몰드(236)의 측면이 표면(44)과 같은 형태를 갖도록 표면(44)과 충분히 순응한다. 몰드(236)는 점탄성 상태에서의 임프린팅 재료보다 더 순응적이도록 확립되고, 따라서 임프린팅 재료에 면하는 몰드(236)의 측면의 형태에 충분히 순응한다. 스텝 508에서 임프린팅 재료는 그것을 고화시켜 몰드(236)와 기판(42)의 표면(44)의 형태에 순응하도록 화학 방사선에 노출시킨다. 스텝 510에서, 몰드(236)는 고화된 임프린팅 재료로부터 분리된다.
도 12, 도 17 및 도 18을 참고하면, 몰드(236)에 반대쪽에 배치된 템플레이트(214)의 측면(215)에 압력의 제어를 용이하게 하기 위해, 척 본체(520)는 진공 기술을 사용하여 템플레이트(214)를 유지하도록 적합하게 되어 있다. 그 목적으로, 척 본체(520)는 제 1 (522) 및 제 2 (524)의 반대 측면들을 포함한다. 측면, 또는 가장자리에서, 표면(526)은 제 1 측면(520)과 제 2 측면(524) 사이에 연장된다. 제 1 측면(522)은 제 1 후미부(532)와 제 1 후미부(532)로부터 간격져 떨어진 제 2 후미부(534)를 포함하며, 제 1 (536) 및 제 2 (538)의 간격져 떨어진 지지체 영역들을 규정한다. 제 1 지지체 영역(536)은 제 2 지지체 영역(538)과 제 1 (532) 및 제 2 (534)후미부를 띠를 두른다. 제 2 지지체 영역(538)은 제 2 후미부(534)를 띠를 두른다. 제 2 후미부(534)와 겹쳐 있는 척 본체(520)의 부분(540)는 상기한 중합가능 재료를 고화하기 위해 사용된 화학 에너지의 파장과 같은 정해진 파장을 갖는 에너지에 투과성이다. 이 목적으로, 부분(540)은 넓은 띠 자외선 에너지에 관하여 투과성인 얇은 층의 재료, 예를 들면 유리로 만들어진다. 그러나, 부분(540)을 만드는 재료는 도 1에 나타낸 에너지원(26)에 의해 생성된 에너지의 파장에 의존할 수도 있다.
다시 도 17 및 도 18을 참조하면, 부분(540)은 제 2 측면(524)으로부터 연장되고 제 2 후미부(534)에 가깝게 끝나고 몰드(236)가 그와 겹쳐지도록 적어도 몰드(236)의 면적만큼 큰 면적을 규정해야 한다. 척 본체(520)에서, 542 및 544로 나타낸 하나 이상의 통로가 있다. 통로(542)와 같은 통로 중 하나는 측 표면(526)과 유체 연통하여 제 1 후미부(532)를 둔다. 통로(544)와 같은 나머지 통로는 측 표면(526)과 유체 연통하여 제 2 후미부(532)를 둔다.
통로(542)는 또한, 제 2 측면(524)과 제 1 후미부(532) 사이에 연장될 수 있음을 이해해야 한다. 마찬가지로, 통로(544)는 제 2 측면(524)과 제 2 후미부(534) 사이에 연장될 수 있다. 바람직한 것은 통로(542 및 544)가 후미부(532 및 534)를 각각 펌프 시스템(546)과 같은 압력 제어 시스템과 유체 연통하여 두는 것을 용이하게 하는 것이다.
펌프 시스템(546)은 후미부(532 및 534)에 가까이에 서로 독립적으로 압력을 제어하기 위한 하나 이상의 펌프를 포함할 수도 있다. 상세히는, 척 본체(520)에 장착했을 때, 템플레이트(136)는 제 1 (532) 및 제 2 (534) 후미부를 덮으면서 제 1 (536) 및 제 2 (538) 지지체 영역들에 대해 놓인다. 제 1 후미부(532)와 그와 겹쳐 있는 템플레이트(136)의 부분(548)은 제 1 챔버(550)를 규정한다. 제 2 후미부(534) 및 그와 겹쳐 있는 템플레이트(136)의 부분(552)은 제 2 챔버(554)를 규정한다. 펌프 시스템(546)은 제 1 (550) 및 제 2 (554) 챔버에서 압력을 제어하기 위해 작동한다. 상세히는, 압력은 제 1 챔버(550)에서 확립되어 척 본체(520)와 함께 템플레이트(214)의 위치를 유지하고, 회피되지 않으면, 중력(g)하에 척 본체(520)로부터의 템플레이트(214)의 분리를 감소시킨다. 제 2 챔버(554)에서의 압력은 특히, 템플레이트(214)의 형태를 조절함으로써 임프린팅의 동안에 템플레이트(214)에 의해 발생된 패턴에서 뒤틀림을 감소시키기 위해 제 2 챔버(548)에서의 압력과 다를 수도 있다. 예를 들면, 펌프 시스템(546)은 상기 논의된 이유로 챔버(554)에서 포지티브 압력을 가할 수도 있다. 펌프 시스템(546)은 도 1에 나타낸 프로세서(32)의 제어하에 작동된다.
도 1, 도 17 및 도 19를 참조하면, 템플레이트(214)는 배향 시스템(558)에 커플링된 굴곡부(556)에 척 본체(520)의 커플링을 통해 임프린트 헤드(20)에 커플링된다. 배향 시스템(558)은 템플레이트(214)를 이동시킨다. 굴곡부(556)는 미국 특허 출원 번호 11/142,838 (출원일 2005년 6월 1일, 발명의 명칭 "Compliant Device for Nano-Scale Manufacturing")에 개시되어 있고 특허청구되어 있는데, 이 출원은 본 발명의 출원인에게 양도되었고, 여기에 참고로 포함된다. 배향 시스템(558)은 미국 특허 출원 번호 11/142,825 (출원일 2005년 6월 1일, 발명의 명칭 "Method and System to Control Movement of a Body for Nano-Scale Manufacturing")에 개시되어 있는데, 이 출원은 본 발명의 출원인에게 양도되었고, 여기에 참고로 포함된다.
도 19 및 도 20을 참고하면, 배향 시스템(558)은 이하에서 더 충분히 논의되는 바와 같이, 외부 프레임(562) 및 굴곡 링(564)에 가깝게 배치된 내부 프레임(560)을 갖는 것으로 나타나 있다, 본체(520)는 굴곡부(556)를 통해 배향 시스템(558)에 커플링되어 있다. 상세히는, 본체(520)는 본체(520)의 네 코너에 가장가깝게 굴곡부(556)의 네 코너에 연결되어 있는 본체(520)의 네 코너에서 위치된 나사형 패스너(도시않음)와 같은 어떤 적합한 수단을 사용하여 굴곡부(556)에 연결되어 있다. 내부 프레임(560)의 표면(568)에 가장 가까운 굴곡부(556)의 네 코너(566)는 도시않은 나사형 패스너와 같은 어떤 적합한 수단을 사용하여 거기에 연결되어 있다.
내부 프레임(560)은 중심 통로(570)를 가지며, 외부 프레임(562)은 중심 통로(570)와 겹쳐 있는 중심 개구부(572)를 갖는다. 굴곡부 링(564)은 환형 형태, 예를 들면, 원형 또는 타원형을 가지며, 내부 프레임(560) 및 외부 프레임(562)에 커플링되어 있고, 중심 통로(570) 및 중심 개구부(572) 둘다의 외부에 놓인다. 상세히는, 굴곡부 링(564)은 나사형 패스너(도시않음)와 같은 어떤 적합한 수단을 사용하여 영역들(574, 576 및 578)에서 내부 프레임(560)에, 그리고 영역들(580, 582 및 584)에서 외부 프레임(562)에 커플링되어 있다. 영역(580)은 영역들(574 및 576) 사이에 배치되어 있고 그로부터 등거리에 배치되어 있고; 영역(582)은 영역들(576 및 578) 사이에 배치되어 있고 그로부터 등거리에 배치되어 있고; 영역(584)은 영역들(574 및 578) 사이에 배치되어 있고 그로부터 등거리에 배치되어 있다. 이런 식으로, 굴곡부 링(564)은 굴곡부(556), 본체(520), 및 템플레이트(214)를 둘러싸고 외부 프레임(562)에 내부 프레임(560)을 고정 부착한다.
배향 시스템(558)과 굴곡부(556)의 성분들은 어떤 적합한 재료로부터도, 예를 들면, 알루미늄, 스테인레스 강 등으로부터 형성될 수 있음을 이해하여야 한다. 추가로, 굴곡부(556)는 어떤 적합한 수단을 사용하여 배향 시스템(558)에 커플링될 수 있다. 본 실시예에서, 굴곡부(556)는 네 코너(586)에서 위치된 나사형 패스너(도시않음)를 사용하여 표면(45)에 커플링된다.
도 17 및 도 19를 참조하면, 시스템(558)은 템플레이트(214)의 이동을 제어하고 그것을 스테이지(11)에 배치된 기판(42)과 같은 기준 표면에 관하여 원하는 공간적 관계로 두도록 구성된다. 이 목적으로, 다수의 액튜에이터(588, 590 및 592)가 외부 프레임(562) 및 내부 프레임(560) 사이에 연결되어 배향 시스템(558)에 대해 간격지도록 되어 있다. 액튜에이터(588, 590 및 592)의 각각은 제 1 단부(594) 및 제 2 단부(596)를 갖는다. 제 1 단부(594)는 외부 프레임(562)에 면하고, 제 2 단부(596)는 외부 프레임(562)으로부터 떨어져서 면한다.
도 19 및 도 20을 둘다 참고하면, 액튜에이터(588, 590 및 592)는 세 축(Z1, Z2, 및 Z3)을 따라 내부 프레임(560)의 병진 이동을 용이하게 함으로써 외부 프레임(562)에 관하여 내부 프레임(560)을 기울인다. 배향 시스템(558)은 세 축(Z1, Z2, 및 Z3)에 대해 대략 ±1.2 mm의 이동 범위를 제공할 수도 있다. 이 방식으로, 액튜에이터(588, 590 및 592)는 내부 프레임(560)으로 하여금 굴곡부(556)와 따라서 템플레이트(214)와 본체(520) 둘다에 하나 이상의 다수의 축(T1, T2 및 T3)에 대해 각 이동을 부여하게 한다. 상세히는, 내부 프레임(560) 및 외부 프레임(562) 간의 거리를 축(Z2 및 Z3)을 따라 감소시키고 그들 간의 거리를 축(Z1)을 따라 증가시킴으로써, 기울기 축(T2)에 대해 각 이동이 제 1 방향으로 일어난다.
내부 프레임(560) 및 외부 프레임(562) 간의 거리를 축(Z2 및 Z3)을 따라 증가시키고 그들 간의 거리를 축(Z1)을 따라 감소시킴으로써, 기울기 축(T2)에 대해 각 이동이 제 1 방향과는 반대인 제 2 방향으로 일어난다. 유사한 방식으로, 내부 프레임(560) 및 외부 프레임(562) 간의 거리를 같은 방향과 크기로 축(Z1 및 Z2)을 따라 내부 프레임(560)의 이동에 의해 다양화시키는 한편, 축(Z1 및 Z2)을 따르는 이동과 반대 방향으로 그에 두 배로 축(Z3)을 따라 내부 프레임(560)의 이동을 함으로써 축(T1)에 대한 각 이동이 일어날 수 있다. 마찬가지로, 내부 프레임(560) 및 외부 프레임(562) 간의 거리를 같은 방향과 크기로 축(Z1 및 Z3)을 따라 내부 프레임(560)의 이동에 의해 다양화시키는 한편, 축(Z1 및 Z3)을 따르는 이동과 반대 방향으로 그에 두 배로 축(Z2)을 따라 내부 프레임(560)의 이동을 시킴으로써 기울기 축(T3)에 대한 각 이동이 일어날 수 있다. 액튜에이터(588, 590 및 592)는 ±200 N의 최대 작동 힘을 가질 수도 있다. 배향 시스템(558)은 축(T1, T2, 및 T3)에 대해 대략 ±0.15°의 이동 범위를 제공할 수도 있다.
액튜에이터(588, 590 및 592)는 기계 부품을 최소화하고 따라서, 입자를 발생시킬 수도 있는 마찰 뿐만 아니라 고르지 못한 기계적인 순응을 최소화하도록 선택된다. 액튜에이터(588, 590 및 592)의 예들은 음성 코일 액튜에이터, 피에조 액튜에이터, 및 선형 액튜에이터를 포함한다. 액튜에이터(588, 590 및 592)에 대한 예시 구체예는 상호 LA24-20-O0OA하에 캘리포니아 실마르의 BEI Technologies로부터 입수가능하고, 어떤 적합한 수단 예를 들면, 나사형 패스너를 사용하여 내부 프레임(560)에 커플링된다. 추가로, 액튜에이터(588, 590 및 592)는 중앙 통로(570)와 중앙 개구부(572)의 외부에 대칭으로 배치되어 놓이도록 내부 프레임(560)과 외부 프레임(562) 사이에 커플링된다. 이 구조로, 외부 프레임(562) 내지 굴곡부(556) 사이에 방해받지 않는 통로가 구성되어 있다. 추가로, 비대칭 배치는 동적 진동과 고르지 못한 열 표류를 최소화하여, 이로써 내부 프레임(560)의 미세 이동 교정을 제공한다.
내부 프레임(560), 외부 프레임(562), 굴곡부 링(564) 및 액튜에이터(588, 590 및 592)의 조합은 기울기 축(T1, T2 및 T3)에 대해 굴곡부(556) 및, 따라서 본체(520) 및 템플레이트(214)의 각 이동을 제공한다. 그러나, 원한다면, 병진 이동은 축(Z1, Z2, 및 Z3)에 수직으로가 아니라면 횡으로 연장되는 평면에 놓이는 축을 따라 템플레이트(214)에 부여되는 것이 바람직하다. 이것은 기울기 축(T1, T2 및 T3)로부터 간격져 떨어지고, 템플레이트, 템플레이트 척 및 순응 장치가 조립될 때 템플레이트의 표면에 존재하는 C1 및 C2로 나타낸 하나 이상의 다수의 순응 축에 대해 템플레이트(214)에 각 이동을 부여하도록 기능성을 굴곡부(556)에 제공함으로써 달성된다.
본 발명의 또 다른 구체예는 예를 들면 형성물(50)을 형성하는 고화된 임프린트 재료로부터 몰드(236)의 분리를 용이하게 한다. 이것은 몰드(236)와 고화된 임프린팅 재료 간의 계면의 비교적 작은 면적에 초기 분리를 국소화시키는 것이 분리를 달성하기 위해 필요한 배향 시스템(558)에 의해 몰드(236)에 부여된 상향 힘의 크기를 감소시킨다는 발견에 기초한다. 원하는 결과는 기판(42)과 스테이지(11) 간의 분리의 확률이 감소되는 것이다.
도 21을 참고하면, 본 발명이 형성물(50)로부터 몰드(236)를 분리시 스테이지(11)로부터 기판(42)의 분리를 회피하기 위해 추구하는 불리한 상황. 임프린트 헤드(20)는 몰드(236)와 형성물(50) 간의 끄는 힘을 극복하기에 충분한 힘을 가한다. 몰드(236)의 면적이 기판(42)의 면적과 실질적으로 함께 연장되는 상황에서, 예를 들면, 전체 웨이퍼 임프린팅에서, 몰드(236)를 형성물(50)로부터 분리하는데 요구되는 힘은 종종 기판(42) 및 스테이지(11)의 끄는 힘, 예를 들면 기판(42)과 스테이지(11) 간의 끄는 진공 또는 정전기력보다 훨씬 더 크다. 그러므로, 형성물(50)로부터 몰드(236)의 분리를 달성하는데 필요한 템플레이트(214)에 가해지는 힘을 감소시키는 것이 바람직하다. 상세히는, 템플레이트(214)를 형성물(50)로부터 분리하는데 요구되는 상향 힘은 그것을 위에 유지하기 위해 기판(42)에 스테이지(11)에 의해 아래로 가해지는 힘보다 적은 것을 보장하는 것이 바람직하다.
템플레이트(214)를 형성물(50)로부터 분리하는데 요구되는 상향 힘은 몰드(236)의 주변에 가까운 영역에서 몰드(236)와 형성물(50) 간의 국소화된 분리를 조장함으로써 감소된다. 이 목적으로, 기판(42)과 실질적으로 함께 연장되는 면적을 갖는 몰드(236)에 대해, 몰드(236)는 그 주변부(237)가 거리 R로 나타낸 대략 1 밀리미터를 기판(42)의 가장자리(222)로부터 간격져 떨어져 있음을 보장하기 위해 최대 면적을 가질 것이다. 국소화된 분리는 대략 20 kPa로 챔버(554)를 가압하는 펌프 시스템(546)을 사용하여 고화된 임프린팅 재료로부터 몰드(236)의 분리를 개시함으로써 얻어진다. 이것은 몰드(236)를 둘러싸는 템플레이트(214)의 영역(217)의 형태를 뒤틀린다. 영역(217)에서 표면 템플레이트(214)의 제 1 부분(219)은 중립 위치 NP로부터 기판(42)을 향하여 아래로 이동되고, 부분(219)의 바닥은 기판(42)의 표면(43)에서 대략 1 마이크로미터 아래에 있다. 그 결과, 펌프 시스템(546)에 의해 템플레이트(214)에 제공되는 뒤틀림은 바닥 부분(219)으로 하여금 중립 위치 NP로부터 도 3에 나타낸 두께 t1 및 도 13에 나타낸 높이 h보다 더 큰 크기를 연장하도록 허용하기에 충분해야 한다.
도 21 및 도 22를 다시 참고하면, 템플레이트(214)의 표면의 제 2 부분(220)은 기판(42)으로부터 위로 멀리 이동하고, 그것의 정점은 표면(43)으로부터 대략 15 마이크로미터 떨어져 있다. 제 2 부분(220) 및 바닥 부분(219) 사이에 배치된 템플레이트의 단편은 기판(42)의 가장자리(222)를 접촉한다. 템플레이트(214)와 연관된 영률(Young's modulus)은 영역(217)이 중립 위치 NP로 복귀가 용이하도록 하기 위해 복귀력 FR을 가져오고, 이때, 바닥 부분(219)과 제 2 부분으로서 나타낸 파동부가 약화되어 호형 표면(224)을 형성한다. 복귀력(FR)은 감소된 장력 및/또는 감소된 변형 상태로 복귀하기 위해 이동을 당하는 템플레이트(214)의 재료로부터 결과된다.
도 21, 도 24 및 도 25를 참고하면, 복귀력 FR은 영역(217)에 가까운 몰드(236)의 면적(221)으로 하여금 기판(42)으로부터 분리를 야기하는 한편, 단편(227)은 스테이지(11)에 대해 아래로 기판(42)을 누르도록 기능하여, 그것을 함께 견고히 고정시킨다. 이런 식으로, 형성물(50)로부터 몰드(236)의 분리는 기판(42)에 관하여 템플레이트(214)를 캔틸레버함으로써 일어난다. 상세히는, 부분(227)은 그것을 스테이지(11)에 대해 유지하면서 기판(42)의 가장자리(222)를 접촉하는데, 이것은 몰드(236)를 기판으로부터 분리하는데 요구되는 템플레이트(214)에 대한 상향 힘을 감소시키고 기판이 스테이지(11)로부터 분리하는 것을 방지한다. 그러므로, 복귀력 FR은 분리를 달성하기 위해 필요한 배향 시스템(558)에 의해 몰드(236)에 부여된 상향 힘의 크기를 감소시키는 것이라고 할 수 있다. 그 결과, 복귀력 FR은 면적(221)과 형성물(50) 간의 부착력보다 더 커야 한다. 복귀력 FR은 예를 들어서, 후미부(38)의 바닥 표면(138)이 놓이는 평면 P2과 후미진 영역(54)의 바닥 표면(154)이 놓이는 평면 P3 간에 측정된 형성물(50)에 관하여 형성되어 있는 비스듬한 각 θ을 가져온다. 배압과 각 θ와 커플링된 복귀력 FR은 템플레이트(214) 및 따라서 몰드(236)로 하여금 호형 형태를 갖게 할 것이며, 이때 영역(221)은 멀리 배치된 몰드(236)의 영역보다 형성물(50)로부터 떨어지고, 몰드(236)의 중심 부분은 중심 축(A)에 가까이 위치된다. 전형적으로, 각 θ는 마이크로 라디안의 차수일 것이며 따라서 고화된 층(50)의 특징들의 전단이 피코미터의 차수이도록 될 것이다. 몰드(236)의 나머지 부분들은 형성물(50)로부터 분리되고 도 19에 나타낸 액튜에이터(588, 590 및 592)의 조작에 의해 제어될 수도 있다.
도 19 및 도 25를 둘다 참고하면, 액튜에이터(588, 590 및 592)가 대략 같은 속도로 움직임으로써, 몰드(236)는 영역(221)에 가까운 마지막 부분들이 중심 축(A)에 가까운 영역 전에 형성물(50)로부터 분리되도록 형성물로부터 분리된다. 이런 식으로, 축(A)에 대해 방사상으로 대칭으로 배치되는 몰드(236)의 영역은 형성물(50), 예를 들면, 영역(221)으로부터 연속해서 분리되고, 다음에 영역(223)을 분리하고, 다음에 영역(225), 등을 분리한다. 그러나, 영역(221 , 223 및 225)은 몰드(236)의 형태로 인해 축(A)에 대해 방사상으로 대칭으로 배치되어 있음을 이해하여야 한다. 몰드(236)는 직사각형 또는 정사각형 형태를 갖는다는 것은 전적으로 가능하다. 그 결과, 형성물(50)로부터 연속해서 제거된 영역들의 형태는 주변부(237)의 형태에 상보적일 것이다. 그 결과, 주변부(237)에 관하여 동심인 몰드(236)의 영역들은 이어서 형성물(50)로부터 분리된다. 그러나, 액튜에이터(588, 590 및 592)는 형성물(50)로부터 몰드(236)의 박리 분리를 일으키도록 작동될 수도 있다. 이것은 기울기 축(T1 , T2 및 T3)에 대해 몰드(236)를 움직임으로써 달성될 수도 있다.
도 21 및 도 22를 참고하면, 템플레이트(214)의 국소화된 분리를 달성하는 또 다른 방법은 몰드(236)에 가까운 템플레이트의 호형 표면(224)을 형성하는 것을 포함할 것이다. 상세히는, 펌프 시스템(546)은 호형 표면(224)을 굽히기에 충분한 가압실(554)에서 압력을 조장하고 그것에 실질적으로 일정한 곡률 반경을 제공하게 된다. 복귀력(FR)은 상기 논의한 바와 같이, 몰드(236)와 영역(221)에 가까운 형성물(50)간의 국소화된 분리를 유발할 것이다. 그 후, 몰드(236)는 상기 논의된 기술을 사용하여 형성물(50)로부터 분리될 수도 있다. 윤곽진 표면을 형성하는 것은 특히 유리한데, 몰드(236)가 기판(42)의 면적보다 훨씬 더 작도록 하는 크기로 되면, 예를 들면, 몰드(236)가 625 제곱 밀리미터의 면적을 갖는다면, 캔틸레버는 일어나지 않을 것이다.
상기한 본 발명의 구체예는 예시이다. 본 발명의 범위 내에 있으면서, 상기 열거한 명세서에 많은 변화 및 변형을 가할 수 있을 것이다. 그러므로, 본 발명의 범위는 상기한 설명에 의해 제한되지 않으며, 대신에 충분한 등가물의 범위와 함께 첨부된 청구범위를 참고하여 결정되어야 한다.

Claims (18)

  1. 기판에 배치된 고화된 임프린팅 재료로부터 템플레이트에 포함된 몰드를 분리하는 방법으로서,
    상기 고화된 임프린팅 재료와 상기 몰드 사이의 부착력보다 더 큰 복귀력을 조장하기에 충분한, 변형을 상기 몰드에 가까운 상기 템플레이트에서 조장하는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서, 상기 템플레이트는 상기 몰드의 반대쪽에 배치된 제 1 측면을 포함하고, 상기 조장은 상기 제 1 측면과 상기 몰드 사이에 압력 차이를 유발하는 것을 더 포함하는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서, 상기 조장은 상기 기판을 접촉하기에 충분한 크기의 상기 몰드에서의 파동부를 발생시키는 것을 포함하는 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서, 상기 몰드는 주변부를 포함하고, 상기 주변부에 관하여 동심으로 배치된 상기 고화된 임프린팅 재료로부터 상기 몰드의 영역들을 분리하는 것을 더 포함하는 것을 특징으로 하는 방법.
  5. 제 1 항에 있어서, 상기 몰드는 주변부를 포함하고, 상기 주변부에 관하여 동심으로 배치된 상기 고화된 임프린팅 재료로부터 상기 몰드의 다수의 영역들을 연속해서 분리하는 것을 더 포함하는 것을 특징으로 하는 방법.
  6. 제 1 항에 있어서, 상기 몰드의 중심을 통과하는 축에 대해 방사상으로 대칭으로 배치된 상기 고화된 임프린팅 재료로부터 상기 몰드의 다수의 영역들을 분리하는 것을 더 포함하는 것을 특징으로 하는 방법.
  7. 제 1 항에 있어서, 상기 몰드의 중심을 통과하는 축에 대해 방사상으로 대칭으로 배치된 상기 고화된 임프린팅 재료로부터 상기 몰드의 다수의 영역들을 연속해서 분리하는 것을 더 포함하는 것을 특징으로 하는 방법.
  8. 제 1 항에 있어서, 상기 몰드의 표면의 수선에 횡으로 연장되는 축에 대해 상기 몰드를 회전시킴으로써, 상기 고화된 임프린팅 재료로부터 상기 몰드의 영역들을 분리하는 것을 더 포함하는 것을 특징으로 하는 방법.
  9. 제 1 항에 있어서, 발생시키는 것은 일정한 곡률 반경을 갖도록 몰드를 둘러싸는 상기 템플레이트의 표면을 형성하는 것을 더 포함하는 것을 특징으로 하는 방법.
  10. 기판과, 몰드를 갖는 템플레이트 사이에 순응성 재료를 펼치는 방법으로서, 상기 몰드를 상기 기판과 그들 사이에 부피를 규정하고 겹치도록 위치시키는 단계; 상기 부피의 제 1 소부분을 상기 순응성 재료로, 상기 순응성 재료와 상기 몰드 및 상기 기판 중 한가지의 사이에서 모세관 작용을 통해 충전하는 단계; 그리고 상기 몰드에서 변형을 조장함으로써 상기 부피의 제 2 소부분을 채우는 단계를 특징으로 하는 방법.
  11. 제 10 항에 있어서, 상기 템플레이트는 상기 몰드의 반대쪽에 배치된 제 1 측면을 포함하고, 충전은 상기 제 1 측면과 상기 몰드 사이에 압력 차이를 조장하는 것을 더 포함하는 것을 특징으로 하는 방법.
  12. 제 10 항에 있어서, 상기 몰드는 중심 부분을 포함하고 채우는 단계는 상기 중심 부분과 순응성 재료를 접촉시키고 이어서 상기 몰드의 나머지 부분을 순응성 재료와 접촉시키는 것을 특징으로 하는 방법.
  13. 제 10 항에 있어서, 상기 몰드는 중립 축을 포함하고 충전은 상기 순응성 재료를 접촉하기 전에 상기 중립 축을 굽히는 것을 더 포함하는 것을 특징으로 하는 방법.
  14. 제 10 항에 있어서, 위치시키는 것은 상기 기판에 관하여 상기 몰드를 중심맞추는 것을 더 포함하는 것을 특징으로 하는 방법.
  15. 제 10 항에 있어서, 상기 몰드는 상기 기판의 면적보다 더 큰 관련 면적을 갖는 것을 특징으로 하는 방법.
  16. 제 10 항에 있어서, 상기 템플레이트는 상기 몰드에 반대쪽에 배치된 제 1 측면을 포함하고, 충전은 상기 제 1 측면과 상기 몰드에서 실질적으로 같은 압력을 유지하면서 상기 몰드와 상기 기판 간의 거리를 다양화시키는 것을 더 포함하는 것을 특징으로 하는 방법.
  17. 제 10 항에 있어서, 충전은 채우기 단계 전에 일어나는 것을 특징으로 하는 방법
  18. 제 10 항에 있어서, 상기 제 1 및 제 2 소부분은 상기 부피보다 작은 연관된 집성물 부피를 갖는 것을 특징으로 하는 방법.
KR1020087012782A 2005-12-01 2006-09-22 고화된 임프린팅 재료로부터 몰드를 분리하는 기술 KR101340922B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11/292,568 2005-12-01
US11/292,568 US7803308B2 (en) 2005-12-01 2005-12-01 Technique for separating a mold from solidified imprinting material
US11/303,777 US7906058B2 (en) 2005-12-01 2005-12-16 Bifurcated contact printing technique
US11/303,777 2005-12-16
PCT/US2006/037109 WO2007064386A1 (en) 2005-12-01 2006-09-22 Technique for separating a mold from solidified imprinting material

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020137014437A Division KR101375132B1 (ko) 2005-12-01 2006-09-22 고화된 임프린팅 재료로부터 몰드를 분리하는 기술

Publications (2)

Publication Number Publication Date
KR20080071151A true KR20080071151A (ko) 2008-08-01
KR101340922B1 KR101340922B1 (ko) 2013-12-13

Family

ID=38092554

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020087012782A KR101340922B1 (ko) 2005-12-01 2006-09-22 고화된 임프린팅 재료로부터 몰드를 분리하는 기술
KR1020137014437A KR101375132B1 (ko) 2005-12-01 2006-09-22 고화된 임프린팅 재료로부터 몰드를 분리하는 기술

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020137014437A KR101375132B1 (ko) 2005-12-01 2006-09-22 고화된 임프린팅 재료로부터 몰드를 분리하는 기술

Country Status (6)

Country Link
US (1) US7906058B2 (ko)
EP (2) EP1954472A4 (ko)
JP (2) JP5236484B2 (ko)
KR (2) KR101340922B1 (ko)
TW (1) TWI310730B (ko)
WO (1) WO2007064386A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130031252A (ko) * 2010-03-30 2013-03-28 후지필름 가부시키가이샤 나노임프린팅 방법 및 몰드 제조방법

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7162035B1 (en) 2000-05-24 2007-01-09 Tracer Detection Technology Corp. Authentication method and system
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
WO2007067488A2 (en) 2005-12-08 2007-06-14 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
WO2007117524A2 (en) * 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US8142850B2 (en) * 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US7802978B2 (en) * 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
US7946837B2 (en) * 2006-10-06 2011-05-24 Asml Netherlands B.V. Imprint lithography
TW200842934A (en) * 2006-12-29 2008-11-01 Molecular Imprints Inc Imprint fluid control
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
KR101503331B1 (ko) 2007-09-28 2015-03-17 도레이 카부시키가이샤 미세 형상 전사시트의 제조방법 및 제조장치
NL1036034A1 (nl) * 2007-10-11 2009-04-15 Asml Netherlands Bv Imprint lithography.
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US20090148619A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Controlling Thickness of Residual Layer
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US7995196B1 (en) 2008-04-23 2011-08-09 Tracer Detection Technology Corp. Authentication method and system
WO2010044756A2 (en) * 2008-10-16 2010-04-22 Teng Hwee Koh Mold imprinting
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
CN105116684A (zh) 2009-03-12 2015-12-02 应用材料公司 大面积可溶解模板光刻
JP5662741B2 (ja) * 2009-09-30 2015-02-04 キヤノン株式会社 インプリント装置および物品の製造方法
JP5438578B2 (ja) 2010-03-29 2014-03-12 富士フイルム株式会社 微細凹凸パターンの形成方法及び形成装置
TWI576229B (zh) 2010-04-27 2017-04-01 分子壓模公司 奈米壓印之安全分離技術
TWI400160B (zh) * 2010-11-18 2013-07-01 Univ Nat Taiwan Science Tech 應用於微奈米壓印製程之模具
JP5646396B2 (ja) * 2011-06-08 2014-12-24 株式会社東芝 テンプレートの製造方法
JP5938218B2 (ja) 2012-01-16 2016-06-22 キヤノン株式会社 インプリント装置、物品の製造方法およびインプリント方法
JP5824380B2 (ja) * 2012-02-07 2015-11-25 キヤノン株式会社 インプリント装置、インプリント方法、及び物品の製造方法
JP5824379B2 (ja) 2012-02-07 2015-11-25 キヤノン株式会社 インプリント装置、インプリント方法、及び物品の製造方法
JP6060796B2 (ja) * 2013-04-22 2017-01-18 大日本印刷株式会社 インプリントモールド及びダミーパターン設計方法
KR102336499B1 (ko) * 2014-08-04 2021-12-07 삼성전자주식회사 패턴 구조체 및 그 제조방법과, 금속 와이어 그리드 편광판을 채용한 액정 표시장치
CN107111226B (zh) 2014-12-22 2021-04-13 皇家飞利浦有限公司 图案化印模制造方法、图案化印模和压印方法
JP2017045849A (ja) * 2015-08-26 2017-03-02 東京エレクトロン株式会社 シーズニング方法およびエッチング方法
EP3362189A4 (en) * 2015-10-15 2019-06-26 Board of Regents, The University of Texas System VERSATILE PROCESS FOR PRECISELY NANOSCALE MANUFACTURE
US9993962B2 (en) * 2016-05-23 2018-06-12 Canon Kabushiki Kaisha Method of imprinting to correct for a distortion within an imprint system
TWI672212B (zh) * 2016-08-25 2019-09-21 國立成功大學 奈米壓印組合體及其壓印方法
US10627715B2 (en) 2016-10-31 2020-04-21 Canon Kabushiki Kaisha Method for separating a nanoimprint template from a substrate
US11249405B2 (en) * 2018-04-30 2022-02-15 Canon Kabushiki Kaisha System and method for improving the performance of a nanoimprint system
KR20230053678A (ko) 2020-09-08 2023-04-21 캐논 가부시끼가이샤 성형 장치 및 물품의 제조 방법

Family Cites Families (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1236304A (en) * 1917-02-03 1917-08-07 Riley L Howell Cushioned hand-stamp.
US2124711A (en) * 1937-06-25 1938-07-26 George S Rowell Method and apparatus for reproducing images on curved surfaces
US2201302A (en) * 1938-11-30 1940-05-21 Westinghouse Electric & Mfg Co Printing device
GB1183056A (en) 1966-11-29 1970-03-04 Bp Chemicals U K Ltd Formerly Metering Process for Dispensing Measured Quantities of Liquefied Gas
US3868901A (en) * 1972-05-22 1975-03-04 Interspace Corp Apparatus for mechanical contact in printing on ceramic tableware
US4022855A (en) 1975-03-17 1977-05-10 Eastman Kodak Company Method for making a plastic optical element having a gradient index of refraction
FR2325018A1 (fr) 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
US4208240A (en) 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
DE3022709A1 (de) 1980-06-18 1982-01-07 Felix Schoeller jr. GmbH & Co KG, 4500 Osnabrück Wasserfestes fotografisches papier und verfahren zu seiner herstellung
US4576900A (en) 1981-10-09 1986-03-18 Amdahl Corporation Integrated circuit multilevel interconnect system and method
DE3208081A1 (de) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt Verfahren zur herstellung einer siebartigen scherfolie fuer einen elektrisch betriebenen trockenrasierapparat mit erhebungen auf ihrer der haut zugewandten flaeche
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4490409A (en) 1982-09-07 1984-12-25 Energy Sciences, Inc. Process and apparatus for decorating the surfaces of electron irradiation cured coatings on radiation-sensitive substrates
US4637904A (en) 1983-11-14 1987-01-20 Rohm And Haas Company Process for molding a polymeric layer onto a substrate
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
EP0234632B1 (en) 1986-02-13 1991-01-16 Koninklijke Philips Electronics N.V. Matrix for use in a replica process
US4676868A (en) 1986-04-23 1987-06-30 Fairchild Semiconductor Corporation Method for planarizing semiconductor substrates
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (ja) 1986-11-10 1994-12-21 松下電器産業株式会社 印刷方法
JP2823016B2 (ja) 1986-12-25 1998-11-11 ソニー株式会社 透過型スクリーンの製造方法
US6048799A (en) 1987-02-27 2000-04-11 Lucent Technologies Inc. Device fabrication involving surface planarization
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US5028361A (en) 1987-11-09 1991-07-02 Takeo Fujimoto Method for molding a photosensitive composition
US4936465A (en) 1987-12-07 1990-06-26 Zoeld Tibor Method and apparatus for fast, reliable, and environmentally safe dispensing of fluids, gases and individual particles of a suspension through pressure control at well defined parts of a closed flow-through system
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4866307A (en) 1988-04-20 1989-09-12 Texas Instruments Incorporated Integrated programmable bit circuit using single-level poly construction
US4862019A (en) 1988-04-20 1989-08-29 Texas Instruments Incorporated Single-level poly programmable bit circuit
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
US4932358A (en) 1989-05-18 1990-06-12 Genus, Inc. Perimeter wafer seal
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5003062A (en) 1990-04-19 1991-03-26 Taiwan Semiconductor Manufacturing Co. Semiconductor planarization process for submicron devices
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5451435A (en) 1990-06-18 1995-09-19 At&T Corp. Method for forming dielectric
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5288436A (en) 1990-11-06 1994-02-22 Colloptics, Inc. Methods of fabricating a collagen lenticule precursor for modifying the cornea
US5362940A (en) 1990-11-09 1994-11-08 Litel Instruments Use of Fresnel zone plates for material processing
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
FR2677043B1 (fr) 1991-05-29 1993-12-24 Solems Procede, dispositif et appareil pour traiter un substrat par un plasma basse pression.
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5277749A (en) 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP2867194B2 (ja) 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5246880A (en) 1992-04-27 1993-09-21 Eastman Kodak Company Method for creating substrate electrodes for flip chip and other applications
JP3157605B2 (ja) 1992-04-28 2001-04-16 東京エレクトロン株式会社 プラズマ処理装置
US5371822A (en) 1992-06-09 1994-12-06 Digital Equipment Corporation Method of packaging and assembling opto-electronic integrated circuits
US5232874A (en) 1992-06-22 1993-08-03 Micron Technology, Inc. Method for producing a semiconductor wafer having shallow and deep buried contacts
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5445195A (en) 1992-07-15 1995-08-29 Kim; Dae S. Automatic computer-controlled liquid dispenser
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5250472A (en) 1992-09-03 1993-10-05 Industrial Technology Research Institute Spin-on-glass integration planarization having siloxane partial etchback and silicate processes
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (ko) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5449117A (en) 1993-10-04 1995-09-12 Technical Concepts, L.P. Apparatus and method for controllably dispensing drops of liquid
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US6180239B1 (en) 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
NL9401260A (nl) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
US5434107A (en) 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
DE4408537A1 (de) 1994-03-14 1995-09-21 Leybold Ag Vorrichtung für den Transport von Substraten
US5542605A (en) 1994-04-07 1996-08-06 Flow-Rite Controls, Ltd. Automatic liquid dispenser
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
WO1996004210A1 (fr) * 1994-08-04 1996-02-15 Hitachi Chemical Company, Ltd. Procede de production de verre de silice
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
EP0715334B1 (en) 1994-11-30 1999-04-14 Applied Materials, Inc. Plasma reactors for processing semiconductor wafers
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5628917A (en) 1995-02-03 1997-05-13 Cornell Research Foundation, Inc. Masking process for fabricating ultra-high aspect ratio, wafer-free micro-opto-electromechanical structures
US5843363A (en) 1995-03-31 1998-12-01 Siemens Aktiengesellschaft Ablation patterning of multi-layered structures
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US6342389B1 (en) 1995-04-10 2002-01-29 Roger S. Cubicciotti Modified phycobilisomes and uses therefore
GB9509487D0 (en) 1995-05-10 1995-07-05 Ici Plc Micro relief element & preparation thereof
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20040036201A1 (en) 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US20030080471A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
JPH09283621A (ja) 1996-04-10 1997-10-31 Murata Mfg Co Ltd 半導体装置のt型ゲート電極形成方法およびその構造
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
WO1998010121A1 (en) 1996-09-06 1998-03-12 Obducat Ab Method for anisotropic etching of structures in conducting materials
US6228539B1 (en) 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
JPH10123534A (ja) 1996-10-23 1998-05-15 Toshiba Corp 液晶表示素子
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5812629A (en) 1997-04-30 1998-09-22 Clauser; John F. Ultrahigh resolution interferometric x-ray imaging
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5974150A (en) 1997-09-30 1999-10-26 Tracer Detection Technology Corp. System and method for authentication of goods
WO1999045179A1 (en) 1998-03-05 1999-09-10 Obducat Ab Method of etching
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
FI109944B (fi) 1998-08-11 2002-10-31 Valtion Teknillinen Optoelektroninen komponentti ja valmistusmenetelmä
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6665014B1 (en) 1998-11-25 2003-12-16 Intel Corporation Microlens and photodetector
US6247986B1 (en) 1998-12-23 2001-06-19 3M Innovative Properties Company Method for precise molding and alignment of structures on a substrate using a stretchable mold
US6521536B1 (en) 1999-01-11 2003-02-18 Micron Technology, Inc. Planarization process
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6565928B2 (en) 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
JP2001058352A (ja) * 1999-06-14 2001-03-06 Dainippon Printing Co Ltd 密着転写方法および装置ならびに転写型
US6255022B1 (en) 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
EP1072954A3 (en) * 1999-07-28 2002-05-22 Lucent Technologies Inc. Lithographic process for device fabrication
US6242363B1 (en) 1999-08-11 2001-06-05 Adc Telecommunications, Inc. Method of etching a wafer layer using a sacrificial wall to form vertical sidewall
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6623579B1 (en) 1999-11-02 2003-09-23 Alien Technology Corporation Methods and apparatus for fluidic self assembly
JP3321129B2 (ja) * 1999-11-17 2002-09-03 富士通株式会社 立体構造物転写方法及びその装置
SE515607C2 (sv) * 1999-12-10 2001-09-10 Obducat Ab Anordning och metod vid tillverkning av strukturer
ATE294648T1 (de) 1999-12-23 2005-05-15 Univ Massachusetts Verfahren zur herstellung von submikron mustern auf filmen
US6498640B1 (en) 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
US6376379B1 (en) 2000-02-01 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method of hard mask patterning
US6337262B1 (en) 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
US6387330B1 (en) 2000-04-12 2002-05-14 George Steven Bova Method and apparatus for storing and dispensing reagents
US7859519B2 (en) 2000-05-01 2010-12-28 Tulbert David J Human-machine interface
US6593240B1 (en) 2000-06-28 2003-07-15 Infineon Technologies, North America Corp Two step chemical mechanical polishing process
EP2264522A3 (en) * 2000-07-16 2011-12-14 The Board of Regents of The University of Texas System Method of forming a pattern on a substrate
WO2002006902A2 (en) 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
KR100350811B1 (ko) 2000-08-19 2002-09-05 삼성전자 주식회사 반도체 장치의 금속 비아 콘택 및 그 형성방법
US6629292B1 (en) 2000-10-06 2003-09-30 International Business Machines Corporation Method for forming graphical images in semiconductor devices
CN100365507C (zh) 2000-10-12 2008-01-30 德克萨斯州大学系统董事会 用于室温下低压微刻痕和毫微刻痕光刻的模板
US6879162B2 (en) 2000-11-07 2005-04-12 Sri International System and method of micro-fluidic handling and dispensing using micro-nozzle structures
CN1260778C (zh) 2000-12-04 2006-06-21 株式会社荏原制作所 基片加工方法
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6841483B2 (en) 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6620733B2 (en) 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6955767B2 (en) 2001-03-22 2005-10-18 Hewlett-Packard Development Company, Lp. Scanning probe based lithographic alignment
US6517977B2 (en) 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
JP2002348680A (ja) 2001-05-22 2002-12-04 Sharp Corp 金属膜パターンおよびその製造方法
US6847433B2 (en) 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
TWI285279B (en) 2001-06-14 2007-08-11 Himax Tech Ltd Liquid crystal display panel having sealant
US7049049B2 (en) 2001-06-27 2006-05-23 University Of South Florida Maskless photolithography for using photoreactive agents
US20030017424A1 (en) * 2001-07-18 2003-01-23 Miri Park Method and apparatus for fabricating complex grating structures
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6678038B2 (en) 2001-08-03 2004-01-13 Nikon Corporation Apparatus and methods for detecting tool-induced shift in microlithography apparatus
CN100347608C (zh) 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
JP2003202584A (ja) 2002-01-08 2003-07-18 Toshiba Corp 液晶表示装置
US6621960B2 (en) 2002-01-24 2003-09-16 Oplink Communications, Inc. Method of fabricating multiple superimposed fiber Bragg gratings
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US7117583B2 (en) 2002-03-18 2006-10-10 International Business Machines Corporation Method and apparatus using a pre-patterned seed layer for providing an aligned coil for an inductive head structure
US7223350B2 (en) 2002-03-29 2007-05-29 International Business Machines Corporation Planarization in an encapsulation process for thin film surfaces
US6783717B2 (en) 2002-04-22 2004-08-31 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
JP4639081B2 (ja) * 2002-05-27 2011-02-23 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ スタンプから基板にパターンを転写する方法及び装置
US20030224116A1 (en) 2002-05-30 2003-12-04 Erli Chen Non-conformal overcoat for nonometer-sized surface structure
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7442336B2 (en) 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
MY144124A (en) * 2002-07-11 2011-08-15 Molecular Imprints Inc Step and repeat imprint lithography systems
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7071088B2 (en) * 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US6936194B2 (en) 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040065252A1 (en) 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US6980282B2 (en) 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6871558B2 (en) 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
JP4563181B2 (ja) * 2002-12-13 2010-10-13 モレキュラー・インプリンツ・インコーポレーテッド 基板の面曲がりを使用する倍率補正
US7113336B2 (en) 2002-12-30 2006-09-26 Ian Crosby Microlens including wire-grid polarizer and methods of manufacture
US6943117B2 (en) 2003-03-27 2005-09-13 Korea Institute Of Machinery & Materials UV nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
JP4185808B2 (ja) * 2003-05-09 2008-11-26 Tdk株式会社 インプリント装置およびインプリント方法
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7150622B2 (en) * 2003-07-09 2006-12-19 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
US7790231B2 (en) 2003-07-10 2010-09-07 Brewer Science Inc. Automated process and apparatus for planarization of topographical surfaces
JP2005053214A (ja) * 2003-07-22 2005-03-03 Meiki Co Ltd 樹脂成形品の成形装置および成形方法
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050106321A1 (en) 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
JP4322096B2 (ja) 2003-11-14 2009-08-26 Tdk株式会社 レジストパターン形成方法並びに磁気記録媒体及び磁気ヘッドの製造方法
US20050189676A1 (en) 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US20050276919A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050270516A1 (en) 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US7673775B2 (en) 2004-06-25 2010-03-09 Cristian Penciu Apparatus for mixing and dispensing fluids
US20060017876A1 (en) 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US7105452B2 (en) 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7547504B2 (en) 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
JP5198071B2 (ja) 2004-12-01 2013-05-15 モレキュラー・インプリンツ・インコーポレーテッド インプリントリソグラフィ・プロセスにおける熱管理のための露光方法
US7357876B2 (en) 2004-12-01 2008-04-15 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7811505B2 (en) 2004-12-07 2010-10-12 Molecular Imprints, Inc. Method for fast filling of templates for imprint lithography using on template dispense
US20060177535A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US20060177532A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography method to control extrusion of a liquid from a desired region on a substrate
JP4787993B2 (ja) * 2005-04-22 2011-10-05 株式会社日立製作所 インプリント方式の転写印刷方法、および転写印刷版
JP4596981B2 (ja) * 2005-05-24 2010-12-15 株式会社日立ハイテクノロジーズ インプリント装置、及び微細構造転写方法
US7316554B2 (en) 2005-09-21 2008-01-08 Molecular Imprints, Inc. System to control an atmosphere between a body and a substrate
JP2007083626A (ja) * 2005-09-22 2007-04-05 Ricoh Co Ltd 微細構造転写装置
JP2007134368A (ja) * 2005-11-08 2007-05-31 Nikon Corp パターン転写装置、露光装置及びパターン転写方法
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
WO2007067488A2 (en) 2005-12-08 2007-06-14 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
WO2007117524A2 (en) 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US7547398B2 (en) 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130031252A (ko) * 2010-03-30 2013-03-28 후지필름 가부시키가이샤 나노임프린팅 방법 및 몰드 제조방법

Also Published As

Publication number Publication date
KR101375132B1 (ko) 2014-03-17
KR20130065741A (ko) 2013-06-19
EP1954472A1 (en) 2008-08-13
TWI310730B (en) 2009-06-11
JP5236484B2 (ja) 2013-07-17
EP2413189A1 (en) 2012-02-01
TW200722273A (en) 2007-06-16
WO2007064386A1 (en) 2007-06-07
JP5543502B2 (ja) 2014-07-09
US7906058B2 (en) 2011-03-15
EP1954472A4 (en) 2009-01-07
KR101340922B1 (ko) 2013-12-13
US20070126150A1 (en) 2007-06-07
JP2009517882A (ja) 2009-04-30
JP2012094901A (ja) 2012-05-17

Similar Documents

Publication Publication Date Title
KR101340922B1 (ko) 고화된 임프린팅 재료로부터 몰드를 분리하는 기술
US7803308B2 (en) Technique for separating a mold from solidified imprinting material
TWI336422B (en) Method for expelling gas positioned between a substrate and a mold
JP5139421B2 (ja) 厚さが変化するテンプレート
JP6538695B2 (ja) パーシャルフィールドインプリントのための非対称的なテンプレート形状の調節
KR101056505B1 (ko) 기판의 형상을 조절하기 위한 척킹 시스템 및 방법
US7323130B2 (en) Magnification correction employing out-of-plane distortion of a substrate
JP2008501244A (ja) 基板支持システム及び方法
JP2011528506A (ja) ナノ−インプリント・リソグラフィのための内部空洞システム
JP2012532448A (ja) 引っ込んだ支持特徴部を有するチャッキングシステム
US20140072668A1 (en) Mold and mold blank substrate
TWI277504B (en) Method of separating a mold from a solidified layer disposed on a substrate
US9122148B2 (en) Master template replication
TWI538797B (zh) 奈米壓印方法、用於執行該奈米壓印方法的奈米壓印裝置、以及圖案化基底的製造方法
TW202143330A (zh) 平坦化設備、平坦化程序及製造物品的方法
US7768628B2 (en) Contact lithography apparatus and method
US20100096775A1 (en) Mold imprinting
TW200804053A (en) Method for expelling gas positioned between a substrate and a mold
KR101703952B1 (ko) 개구부가 포함된 템플릿을 이용한 금속나노패턴 제조방법
JP2005186207A (ja) 微小構造体及びその形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161124

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171127

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181123

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191127

Year of fee payment: 7