KR20060063808A - 반도체 웨이퍼의 박막 증착 및 평탄화 장치 및 방법 - Google Patents

반도체 웨이퍼의 박막 증착 및 평탄화 장치 및 방법 Download PDF

Info

Publication number
KR20060063808A
KR20060063808A KR1020057025140A KR20057025140A KR20060063808A KR 20060063808 A KR20060063808 A KR 20060063808A KR 1020057025140 A KR1020057025140 A KR 1020057025140A KR 20057025140 A KR20057025140 A KR 20057025140A KR 20060063808 A KR20060063808 A KR 20060063808A
Authority
KR
South Korea
Prior art keywords
wafer
fluid
electroplating
proximity head
head
Prior art date
Application number
KR1020057025140A
Other languages
English (en)
Other versions
KR101136773B1 (ko
Inventor
마이크 래브킨
존 보이드
예즈디 엔 도르디
프레드 씨 리데커
라리오스 존 엠 데
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20060063808A publication Critical patent/KR20060063808A/ko
Application granted granted Critical
Publication of KR101136773B1 publication Critical patent/KR101136773B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/10Electrodes, e.g. composition, counter electrode
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/026Electroplating of selected surface areas using locally applied jets of electrolyte
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/22Electroplating combined with mechanical treatment during the deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

웨이퍼 표면 상에 금속층을 증착하는 전기도금 장치가 제공된다. 일 예에서, 애노드로서 전기적으로 하전될 수 있는 근접 헤드가 웨이퍼의 표면에 매우 가까이 위치된다. 도금 유체는 웨이퍼와 근접 헤드 사이에 제공되어 극소화된 금속 도금을 생성한다.
전기도금 장치, 근접 헤드

Description

반도체 웨이퍼의 박막 증착 및 평탄화 장치 및 방법{APPARATUS AND METHOD FOR DEPOSITING AND PLANARIZING THIN FILMS OF SEMICONDUCTOR WAFERS}
발명의 배경
1. 발명의 분야
본 발명은 반도체 웨이퍼 증착 및 평탄화에 관한 것으로, 보다 상세하게는, 국소적인 증착을 이용하여 보다 효과적으로 박막을 증착하고 국소적인 평탄화를 가능하게 하는 장치 및 기술에 관한 것이다.
2. 관련 기술의 설명
전기도금은 잘 확립된 증착 기술이다. 반도체 제조 기술에서, 전기도금은 통상적으로 전해질에 웨이퍼를 침지한 상태로 단일 웨이퍼 프로세서에서 수행한다. 전기도금 동안, 통상적으로 애노드의 역할을 하는 포지티브로 하전된 플레이트 (역시 전해질에 침지됨) 에 대하여 네거티브 또는 그라운드 포텐셜에서 웨이퍼를 웨이퍼 홀더 내에 유지한다. 예를 들어, 구리층을 형성하기 위해, 전해질은 통상적으로 약 0.3 M과 약 0.85 M 사이의 CuSO4 이며, pH는 약 0 내지 약 2 사이 (H2SO4로 조절) 이고, Cl- 뿐만 아니라 극소량의 레벨 (ppm 농도) 의 고유 유기 첨가제를 포함하여 증착 품질을 향상시킨다. 도금 프로세스 동안에, 통상적으로 웨이퍼를 회전시켜 균일한 도금을 용이하게 한다. 도금 프로세스 동안 충분한 막 두께가 달성된 후, 웨이퍼를 도금 챔버로부터 다른 챔버로 이동시켜 탈이온 (DI) 수로 헹구고, 웨이퍼 표면으로부터 잔류 전해질을 제거한다. 그 다음, 웨이퍼를 추가로 습식 프로세싱하여 후면 및 빗각 에지로부터 원치않는 구리를 제거하고, 그 후 다른 탈이온수 헹굼으로 습식 프로세싱 화학 잔류물을 제거한다. 그 다음 화학적 기계적 평탄화 (CMP) 작업을 준비하기 이전에 웨이퍼를 건조하고 어닐링한다.
웨이퍼의 진공 프로세싱과 다르게, 현재의 웨이퍼 프로세싱 동안에는 각 "습식" 프로세싱 단계 후 탈이온수 헹굼의 전체 단계를 진행한다. 전해질 희석 관계와 증가된 하드웨어 디자인 복잡성 때문에, 탈이온수 헹굼은 통상적으로 도금 챔버에서 수행하지 않는다. 오늘날, 거의 50 퍼센트의 웨이퍼 도금 툴 (tool) 의 습식 프로세싱 스테이션이 도금 전용이고, 웨이퍼 생산량에 상당히 부정적인 영향을 미치며 프로세싱 비용을 증가시킨다. 또한, 배리어 층 상에 직접적인 구리 도금을 가능하게 하기 위해, 표면 활성화와 도금 사이의 시간을 최소화하는 것이 중요하다. 표면 활성화 후 헹굼을 위한 추가적인 시간과, 웨이퍼를 도금 모듈으로 운반하는 추가적인 시간은 표면 활성화 단계의 효과성을 상당히 제한한다. 습식 프로세싱 단계들 사이에 탈이온수 헹굼을 생략하는 방법이 필요하다.
도금 프로세스 동안, 웨이퍼는 캐소드의 역할을 하며, 이는 전력 공급부가 웨이퍼에 전기적으로 접속되는 것을 필요로 한다. 통상적으로, 웨이퍼 홀더의 많은 개별 컨택이 웨이퍼 홀더를 웨이퍼의 에지에 전기적으로 접속시킨다. 이 컨택들을 통해 웨이퍼를 전기도금하기 위해 이용되는 전류가 제공된다. 도금 전류는 균일한 증착을 제공하기 위해 웨이퍼의 주변 주위에 균일하게 분포되어야 한다. 저항성의 씨드층을 통해 웨이퍼의 일정한 컨택 저항을 유지하는 것이 균일한 증착을 위해 중요하다. 그러므로, 균일한 증착을 제공하기 위해, 컨택이 청결한 것이 바람직하다. 어떤 경우, 컨택의 세정은 도금 작업의 생산성을 더 제한하는 추가적인 단계를 필요로 한다.
구리 전기도금의 다른 난제는 바이폴라 효과이며, 이는 컨택 저항이 매우 높을 때 관찰된다. 이 효과는 컨택 밑의 구리 씨드층을 직접적으로 에칭하는 것을 유도함으로써 전기도금 동안 웨이퍼와 전력 공급부 사이의 전기적 컨택 역할을 한다. 종래 기술의 어프로치는 전해질로부터 컨택을 밀봉하여 컨택 상에 도금이 되는 것을 방지하여 바이폴라 효과를 제거함으로써 이 문제를 해결하려고 했다. 불행하게도, 밀봉이 완벽하지 않아서 컨택이 오염되고 웨이퍼 주변영역을 따른 컨택의 전류 분포로 인해 불균일한 도금을 초래한다. 따라서, 컨택 저항은 도금 프로세스 동안 어떤 다른 방법의 능동적인 모니터링에 의해 제어되어야 한다.
컨택을 웨이퍼의 표면에 적용할 때 추가적인 의도하지 않은 물리적 난제가 발생한다. 컨택이 통상적으로 웨이퍼의 외부 영역에 (예를 들어, 웨이퍼의 1 내지 3 ㎜ 외부영역에) 위치하지만, 웨이퍼의 일정한 전기적 컨택을 유지하기 위해 일정량의 힘이 가해져야만 한다. 그러한 힘의 인가는 어떤 경우에 다공성 저유전상수 유전체막과 같은 특정한 재료의 기계적 응력에 기인하여 웨이퍼에 결함을 야기할 수도 있다.
반도체 웨이퍼의 피쳐 (feature) 치수가 계속 축소됨에 따라, 구리 씨드층 두께 또한 현재의 약 1000 Å 으로부터 약 400 Å 미만으로 감소되는 것이 기대된다. 씨드층의 두께 감소는 피쳐의 상부에서 적당한 사이즈의 개구를 보장하기 위해 필수적이며, 그리하여 구리 전기도금 프로세스 동안에 보이드 (void) 가 없는 갭 충진 (gap fill) 을 가능하게 한다. 씨드층의 역할은 전기도금 동안 도금 전류를 전체 웨이퍼에 분배하는 것이므로, 더 얇고 더 저항성 있는 씨드층은 웨이퍼 주변 영역 상의 컨택 부근의 균일한 도금을 위해 설계된 챔버 내에서 상당한 어려움을 초래한다. 터미널 효과로 공지된 이 효과는 300 ㎜ 웨이퍼와 같은 큰 웨이퍼에서 더욱 잘 나타난다.
따라서 헹굼 프로세스를 제한하고, 씨드층이 거의 없거나 없는 웨이퍼 상에 균일한 전기도금을 생성하면서, 과도한 표면의 힘 없이 충분한 전기적 컨택을 제공하는 전기도금 시스템이 필요하다.
발명의 요약
일반적으로, 본 발명은 도금 프로세스에 기초한 메니스커스를 이용하여 국소적 도금을 제공하는 장치이다. 청구된 발명에서, 도금 및 평탄화 프로세스는 전체 웨이퍼 표면 또는 서브 구경 (sub-aperture) 도금의 경우에서 진행되며, 웨이퍼보다 작은 사이즈의 도금 헤드는 웨이퍼를 스캔하고 국소적인 도금을 제공한다.
본 발명은 프로세스, 장치, 시스템 디바이스 또는 방법을 포함하여, 다양한 방법으로 구현될 수 있다는 것을 이해할 것이다. 본 발명의 몇몇 독창적인 실시형태가 아래에 기술된다.
일 실시형태에서, 웨이퍼의 표면을 전기도금 하는 전기도금 장치가 제공된 다. 웨이퍼의 표면은 캐소드로서 전기적으로 하전될 수 있다. 애노드로서 전기적으로 하전될 수 있는 근접 헤드가 포함된다. 근접 헤드는 복수의 입력부 및 복수의 출력부를 가지며, 근접 헤드가 웨이퍼 표면에 가까이 위치하면 각 복수의 입력부들은 유체를 웨이퍼의 표면으로 운반할 수 있고 각 복수의 출력부는 유체를 웨이퍼의 표면으로부터 제거할 수 있다. 웨이퍼의 표면으로 유체를 운반하고 웨이퍼의 표면으로부터 유체를 제거하는 것은 웨이퍼와 근접 헤드가 하전될 때 국소적인 금속 도금을 가능하게 한다.
본 발명의 다른 실시형태에서, 애노드로서 전기적으로 하전된 제 1 유체는 제 1 근접 헤드와 금속층을 증착하기 위한 웨이퍼의 표면 사이에서 발생한다. 웨이퍼 표면 상에 비소모성 (non-consumable) 화학 반응을 가능하게 하는 캐소드로서 전기적으로 하전된 제 2 유체는 제 2 근접 헤드와 웨이퍼의 표면 사이에서 발생할 수 있다. 전기적 접속은 웨이퍼의 표면에 금속층을 증착할 때 제 1 유체와 제 2 유체 사이에서 정의된다.
본 발명의 다른 실시형태에서, 애노드로서 하전된 제 1 유체는 제 1 근접 헤드와 금속층을 증착하기 위한 웨이퍼의 표면 사이에서 발생된다. 웨이퍼 표면에 대해 비소모성 화학 반응을 가능하게 하는 캐소드로서 전기적으로 하전된 제 2 유체는 제 2 근접 헤드와 웨이퍼 표면 사이에서 발생할 수 있다. 전기적 접속은 웨이퍼 표면에 금속층을 증착할 때 제 1 유체와 제 2 유체 사이에서 정의된다. 제 2 근접 헤드는 금속층의 적어도 일부의 제거를 가능하게 하는 패드에 의해 증착된 층과 물리적으로 접속되어 위치한다.
본 발명의 이점은 많으며, 가장 두드러지게, 국소적인 도금을 가능하게 함으로써 도금의 활성 영역을 감소시키고 화학적 교환을 개선하는 실시형태이다. 국소적인 금속 도금은 씨드층에 분배되어야 하는 총 도금 전류를 감소시킴으로써 저항성 씨드층 효과를 상당히 감소시키고 증착 불균일을 개선한다. 인-시추 막 두께 제어 및 평탄화는 프로세싱 동안에 웨이퍼 이동의 수를 감소시킴으로써 증가된 생산성을 제공하며, 특정 장치에 대한 몇몇 애플리케이션을 강화시킨다. 본 발명의 다른 양태 및 이점은 본 발명의 원리의 예에 의해 도시된 첨부한 도면과 함께 하기의 상세한 설명으로부터 명백해질 것이다.
도면의 간단한 설명
본 발명은 첨부한 도면과 함께 하기의 상세한 설명에 의해 쉽게 이해될 것이다. 이 설명을 용이하게 하기 위해, 동일한 참조부호는 동일한 구성 요소를 지정한다.
도 1a는 전기도금 장치를 도시한다.
도 1b는 국소적인 금속 도금 동안에 도시한 전기도금 장치를 도시한다.
도 1c는 전기도금 장치의 근접 헤드의 저면도를 제공한다.
도 1d는 평탄화를 위한 연마 패드가 장착된 전기도금 장치의 투시도를 도시한다.
도 2a는 웨이퍼에 기계적 컨택이 없는 전기도금 장치를 도시한다.
도 2b는 전기도금 작업을 위한 웨이퍼로의 기계적 컨택이 없는 전기도금 장치에 의해 이용되는 전해 반응을 도시한다.
도 2c는 기계적 컨택이 없는 전기도금 장치의 단면도를 제공하며, 웨이퍼 표면의 계면에 전기도금 헤드와 제 2 헤드를 나타낸다.
도 2d는 기계적 컨택이 없는 전기도금 장치의 단면도를 제공하며, 전기도금 헤드와 제 2 헤드가 웨이퍼 표면에 적용될 때 증착된 층의 진행을 나타낸다.
도 3은 전기도금 및 평탄화 장치의 단면도를 제공하며 웨이퍼 표면과의 계면에서 전기도금 및 전해질 헤드를 나타내며, 제 2 헤드에는 평탄화를 위한 연마 패드가 장착된다.
도 4는 전기도금 장치의 작업에 대한 흐름도이다.
바람직한 실시형태의 상세한 설명
기판의 표면을 전기도금 하는 방법 및 장치에 대한 발명이 개시된다. 다음의 설명에서, 본 발명의 완전한 이해를 제공하기 위해 여러 구체적인 상세사항을 설명한다. 그러나, 당업자에 의해 본 발명은 이들 구체적인 상세사항의 일부 또는 전부가 없이도 실시될 수도 있다는 것을 이해할 것이다. 다른 경우, 널리 공지된 프로세스 작업은 본 발명을 불필요하게 불명료하게 만들지 않도록, 설명하지 않는다.
도 1a는 본 발명의 일 실시형태에 따른 예시적인 전기도금 장치 (100) 의 도면이다. 씨드층 (106) 을 갖는 웨이퍼 (104) 는 지지부 (130) 상에 위치된다. 네거티브 바이어스 전력 공급부 (124) 는 웨이퍼 (104) 를 하전하여 전기적 컨택 (132) 에 의해 캐소드로 기능하도록 한다. 전기적 컨택 (132) 은 웨이퍼 (104) 를 둘러싸는 단일 링의 형태로 형성될 수도 있으며, 하나의 개별 기계적 컨택 또는 복수의 개별 컨택일 수도 있다. 바람직한 실시형태에서, 전기적 컨택 (132) 은 웨이퍼 (104) 의 주변부에 적용되어, 컨택이 에지 외부 영역 (133) 으로 이루어지도록 한다. 에지 외부 영역 (133) 은 200 ㎜ 웨이퍼 및 300 ㎜ 웨이퍼에 대해 통상적으로 1 내지 3 ㎜ 사이이다.
포지티브 전력 공급부 (122) 에 의해 애노드로서 하전된 근접 헤드 (102) 는 암 (103) 에 의해 웨이퍼 (104) 상부에 위치된다. 암 (103) 은 전기도금 작업에 이용되는 유체를 운반 및 제거하기 위한 하나 이상의 도관을 유지하는 도관 채널 (105) 을 구비할 수 있다. 물론, 도관 채널 (105) 은 암 (103) 에 끈으로 묶는 것과 같은 임의 다른 적절한 기술에 의해 근접 헤드 (102) 에 결합될 수 있다. 일 실시형태에서, 암 (103) 은 근접 헤드 (102) 가 방향 (120) 으로 웨이퍼 (104) 를 가로질러 이동하는 것을 용이하게 하는 시스템의 일부이다.
근접 헤드 (102) 의 이동은 웨이퍼 (104) 를 임의의 수의 방법으로 스캔하도록 프로그램될 수 있다. 시스템은 예시적인 것이고, 헤드를 웨이퍼에 아주 가까이 이동시킬 수 있는 임의의 다른 적절한 형태의 구성이 이용될 수도 있다는 것을 이해할 것이다. 예를 들어, 웨이퍼가 회전할 때, 근접 헤드 (102) 는 웨이퍼 (104) 의 중심에서 시작하여 외부 에지로 점차적으로 선형적으로 이동할 수 있다. 다른 실시형태에서, 근접 헤드 (102) 는 궤도방식으로 원을 그리며 고정된 웨이퍼를 지나다닐 수 있으며 또는 웨이퍼의 전부분을 프로세스 할 수 있는 방법으로 웨이퍼 위를 이동할 수 있다. 다른 실시형태에서, 근접 헤드 (102) 는 왕복 이동을 이용하여 웨이퍼를 스캔할 수도 있으며, 웨이퍼의 일 에지로부터 웨이퍼의 직경으로 반대인 다른 에지로 선형적인 방식으로 이동하거나, 또는 예를 들어, 방사상 이동, 원이동, 나선 이동, 지그재그 이동 등과 같은 다른 비선형 이동으로 이용될 수도 있다. 이동은 사용자가 소망하는 임의의 적절한 구체화된 이동 프로파일일 수도 있다. 이 이동 동안에, 도금 작업은 금속 재료의 균일한 층을 웨이퍼 (104) 표면에 형성한다. 근접 헤드 (102) 의 기능에 관한 상세한 설명과 도금 기술을 하기에 더욱 상세하게 설명한다.
전기도금 장치의 국소적인 금속 도금을 도 1b에 도시한다. 본 명세서에 이용된 국소적인 금속 도금은 금속 재료가 증착된 근접 헤드 (102) 저면의 영역을 정의하도록 의도된다. 도면에 도시한 바와 같이, 근접 헤드 (102) 의 저면 영역은 웨이퍼 (104) 의 표면 영역보다 작다. 따라서, 국소적인 금속 도금은 제 시간에 주어진 점에서 근접 헤드 (102) 아래에서만 발생할 것이다. 웨이퍼 (104) 의 더 많은 표면 상에 금속 도금을 달성하기 위해, 근접 헤드 (102) 는 웨이퍼 (104) 의 다른 표면 영역 상으로 이동할 필요가 있다. 예시적인 실시형태에서, 근접 헤드 (102) 는 도 1a에 도시한 바와 같이 암 (103) 에 결합될 것이다. 웨이퍼 (104) 의 소망하는 영역이 특정 금속 물질로 충분히 도금되는 것을 보장하기 위해 임의의 수의 이동 패턴이 이용될 수 있지만, 한 가지 방법은 웨이퍼 (104) 가 제어된 환경에서 회전하는 동안 암 (103) 이 이동하는 것이다. 또한, 암 (103) 은 근접 헤드 (102) 를 이동시키는 하나의 예시적인 방법일 뿐이다. 예를 들어, 근접 헤드 (102) 를 이동시키는 대신 웨이퍼 (104) 가 이동할 수 있다.
도 1b로 돌아가서, 근접 헤드 (102) 는 씨드층 (106) 을 갖는 웨이퍼 (104) 위에 위치한다. 그러나, 씨드층 (106) 은 옵션이며, 일부 실시형태는 전기도금 작업이 수행되기 이전에, 그 위에 씨드층 (106) 을 형성시키는 것으로부터 이점을 얻을 수도 있다. 구리가 도금되는 재료일 때, 씨드층은 통상적으로 구리의 박층이며 공지된 기술을 이용하여 스퍼터링 되거나 증착될 수도 있다. 그 후, 근접 헤드 (102) 가 웨이퍼 (104) 를 가로지르는 방향 (120) 으로 진행함에 따라 증착층 (108) 이 씨드층 (106) 상에 형성된다. 근접 헤드 (102) 와 씨드층 (106) 사이에 정의된 메니스커스 (116) 에 함유된 전해질 (110) 에 의해 용이해진 전기화학적 반응에 의해 증착층 (108) 이 형성된다. 일 대안적인 실시형태에서, 증착된 층 (108) 은 씨드층이 아닌 층 상에 형성될 수 있다. 그러한 층의 예는 배리어 층 또는 몇몇 다른 층 재료일 수도 있다.
도 1c는 본 발명의 일 실시형태에 따른 근접 헤드 (102) 의 일반적인 저면도를 도시한다. 근접 헤드 (102) 는 복수의 입력부 (112a 및 112b) 와 출력부 (112c) 를 갖는다. 복수의 입력부 (112a 및 112b), 및 복수의 출력부 (112c) 는 하나 이상의 개별 도관에 의해 정의될 수 있다. 각 도관은 근접 헤드 (102) 본체의 제조 동안에 기계가공되거나 형태가 만들어질 수 있다. 다른 실시형태에서, 복수의 입력부 (112a 및 112b) 및 출력부 (112c) 는 도관과 유사한 방법으로 유체가 이동될 수 있게 하는 고리모양의 링에 의해 정의될 수 있다. 당업자에 의해 이해될 수 있는 바와 같이, 복수의 입력부 (112a 및 112b) 및 출력부 (112c) 에 대한 특정한 구조의 선택은 많은 물리적 형태 및 형상을 취할 수 있다. 그러나, 선택되는 형태 또는 형상이 입력부에 의해 유체를 기능적으로 운반하고 출력 부에 의해 유체를 제거할 수 있는 것이 중요하다. 따라서, 일 실시형태에서, 웨이퍼 (104) 는 근접 헤드 (102) 아래의 영역을 제외하고는 모든 영역에서 건조 상태로 유지된다.
도시한 바와 같이, 도금 화학은 근접 헤드 (102) 저면의 국소적인 금속 도금을 가능하게 하는 복수의 입력부 (112b) 에 의해 공급된다. 도금 화학은 구리의 증착을 위해 설계될 수도 있지만, 다른 도금 화학이 특정한 애플리케이션 (즉, 요구되는 금속 재료의 종류) 에 따라 대체될 수도 있다. 도금 화학은 증착 금속, 합금, 또는 복합 금속 재료에 대한 수용액에 의해 정의될 수 있다. 일 실시형태에서, 증착된 금속은 구리 재료, 니켈 재료, 탈륨 재료, 탄탈륨 재료, 티타늄 재료, 텅스텐 재료, 코발트 재료, 합금 재료, 복합 금속 재료 등을 포함할 수 있지만 이에 한정되지는 않는다.
바람직하게 도금 화학은 근접 헤드 (102) 저면의 씨드층 (106) 상부에 위치한 박층의 유체로 정의되는 메니스커스 (116) 로 한정된다. 메니스커스 (116) 를 더 한정하고 정의하기 위해, 이소프로필 알콜 (IPA) 증기가 복수의 입력부 (112a) 에 의해 공급된다. 메니스커스 (116) 의 두께는 바람직한 애플리케이션에 기초하여 변할 수도 있다. 일 예에서, 메니스커스의 두께는 약 0.1 ㎜ 내지 약 10 ㎜ 사이의 범위일 수도 있다. 따라서, 근접 헤드 (102) 는 웨이퍼 표면에 가까이 위치된다. 여기서 사용된 바와 같이, 용어 "가까이" 는 근접 헤드 (102) 의 하부 표면과 웨이퍼 (104) 의 표면 사이의 분리를 정의하며, 유체 메니스커스의 형성을 가능하게 하기 위해 분리가 충분히 되어야 한다. 복수의 출력부 (112c) 는 진공을 제공하여 복수의 입력부 (112b 및 112a) 에 의해 운반된 도금 반응의 유체 부산물을 제거한다.
본 발명에 따라, 증착된 도금 재료는 복수의 입력부 (112b) 에 의해 공급된 전해질 (110) 에서 발생하는 화학 반응에 의해 형성된다. 근접 헤드 (102) 를 애노드로서 하전시키는 것은 화학 반응을 용이하게 한다. 일 예에서, 근접 헤드는 포지티브 바이어스 전압 공급부 (122) 에 전기적으로 결합된다. 도금을 가능하게 하기 위해, 씨드층 (106) 에서 이온의 환원이 화학적으로 수행되며, 네거티브 바이어스 전력 공급부 (124) 로의 전기적인 컨택 (132) 을 통해 씨드층이 캐소드로 하전된다. 화학 반응은 금속층이 증착층 (108) 으로 형성되는 것을 유발한다. 반응 부산물 및 고갈된 반응물 유체는 복수의 출력부 (112c) 를 통해 제거된다.
다른 실시형태에서, 에디 전류 센서 (114) 는 근접 헤드 (102) 에 통합된다. 에디 전류 센서 (114) 는 금속층의 존재 및 두께를 결정하고 언제 특정 프로세스가 완료되는지 (예를 들어, 종료 시점) 를 결정하기 위해 사용된다. 일 실시형태에서, 증착 프로세스 동안 증착된 층 (108) 의 두께가 감지될 수 있다. 이 방법에서, 금속 재료의 제어된 애플리케이션을 달성할 수 있다. 물론, 증착된 층 (108) 의 두께를 측정하기 위한 다른 기술이 사용될 수 있다. 에디 전류 센서의 기능성을 보다 상세하게 설명하기 위해, 발명의 명칭이 "계측학에 기초한 센서의 반도체 프로세싱 툴로의 통합" 이고, 2002년 6월 28일에 출원된 미국 출원 제 10/186,472 호를 참조할 수 있다.
도 1d는 다른 실시형태에 따른 전기도금 및 연마 시스템 (101) 을 도시한다. 이 실시형태에서, 근접 헤드 (102) 에는 연마 패드 (150) 가 장착되고, 연마패드는 증착된 층 (108) 을 평탄화하는 것을 보조한다. 복수의 입력부 (112a 및 112b) 에 의해 공급된 무연마제 (abrasive-free) 반응성 화학물질이 평탄화된 층 (108') 을 용이하게 하는 연마 패드 (150) 에 가해진다. 연마 패드 (150) 는 패드 재료의 채널이 화학적 유체의 통행을 허용하는 한, 임의의 수의 재료로부터 제조될 수 있다. 일 예에서, 재료는 화학적 기계적 연마 (CMP) 장비에 일반적으로 사용되는 재료와 유사한 다공성 폴리머 재료일 수 있다. 다른 재료들은, 예를 들어, Minneapolis Minnesota 의 3M 사의 MWR64 또는 MWR69와 같은 고정된 연마제 재료인 폴리우레탄 화합물을 포함할 수 있다. 일 예시적인 작업에서, 금속 재료의 증착은 연마 패드 (150) 에 의해 용이해지는 연마 작업과 거의 동시에 발생할 것이다. 다른 실시형태에서, 연마는 금속 재료를 증착하는데 이용되는 동일한 근접 헤드 (102) 를 사용하여 수행될 수 있다. 다른 실시형태에서, 도금 헤드 및 연마 헤드는 연마 헤드 및 독립된 제품일 수 있으며, 연마 헤드가 도금 헤드를 따라간다. 그러나, 연마는 증착이 완료된 후 적절한 이후의 시점에서 발생할 수 있다. 이해할 수 있는 바와 같이, 증착 및 연마 작업의 실제 조합은 바람직한 애플리케이션에 따라 선택될 수 있다. 도금 및 평탄화 단계를 바꾸거나 동시에 도금과 평탄화를 수행함으로써, 지형적 편차 및 바람직하지 않은 과도한 재료를 제거한다.
도 2a는 본 발명의 일 실시형태에 따른 예시적인 무컨택 (contact-less) 전 기도금 장치 (200) 의 예시이다. 여기 사용된 무컨택 전기도금 장치는 전해질 컨택을 이용하는 장치이다. 이 실시형태에서, 근접 헤드 (102) 는 메니스커스 (116) 를 생성하기 위해 암 (103) 에 의해 웨이퍼 (104) 에 근접한 관계로 지지된다. 이 예시에서, 상술한 바와 같이, 웨이퍼 (104) 가 지지부 (130) 상에 유지되는 동안 씨드층 (106) 은 메니스커스 (116) 에 노출된다. 근접 헤드 (102) 는 전기적으로 하전되어, 포지티브 전력 공급부 (122) 에 접속됨으로써 애노드의 역할을 수행한다. 또한, 제 2 근접 헤드 (102') 는 암 (103) 에 의해 지지되고, 웨이퍼 (104) 의 표면으로부터 재료를 제거하지 않음과 동시에, 근접 헤드 (102) 에 의한 도금을 가능하게 하는 퍼실리테이터 (facilitator) 로서 역할한다. 암 (103) 은 근접 헤드 (102) 를 지지하는 암 또는 별도의 암의 연장일 수 있다. 이 대안적인 실시형태에서, 제 2 근접 헤드 (102') 는 네거티브 바이어스 전력 공급부 (124) 에 의해 캐소드로서 하전된다. 메니스커스 (116') 는 제 2 근접 헤드 (102') 와 씨드층 (106) 사이에서 정의된다. 메니스커스 (116') 에 의해 가능해지는 퍼실리테이팅은 메니스커스 (116') 자체를 정의하는 화학의 결과이다. 메니스커스 (116') 의 예시적인 화학적 특성은 하기에 제공된다.
도 2b는 증착층 (108) 의 금속 도금을 위한 무컨택 전기도금 장치 (200) 에 의해 사용되는 예시적인 전해질 반응을 도시한다. 앞서 논의한 바와 같이, 근접 헤드 (102) 저면의 메니스커스 (116) 는 포지티브 바이어스 전압 공급부 (122) 를 통해 애노드에 의해 하전되는 전해질 도금 화학을 포함한다.
메니스커스 (116) 는 도 2c에 도시한 바와 같이, 복수의 입력부 (112a) 에 의해 공급되는 IPA 증기 및 복수의 입력부 (112b) 에 의해 공급되는 전해질 (110) 도금 화학을 포함한다. 일 예시적인 실시형태에서, 근접 헤드 (102) 저면의 복수의 입력부 (112b) 는 근접 헤드 (102) 가 포지티브 바이어스 전압 공급부 (122) 로 하전되었을 때 웨이퍼 (104) 표면의 반응이 Cu+2 + 2e- → Cu인 전해질 용액을 제공한다. 이것은 산화환원 반응이므로, 웨이퍼 (102) 표면으로부터 떨어지는 반응은 소모성 Cu 전극이 사용된다면 Cu → Cu+2 + 2e- , 또는 비소모성 전극이 사용된다면 2H2O → O2 + 2e- 이다.
제 2 근접 헤드 (102') 와 유사하게 카운터 전극의 역할을 하는 것은, 네거티브 바이어스 전압 공급부 (124) 에 의해 하전된다. 제 2 근접 헤드 (102') 저면에 형성된 제 2 메니스커스 (116') 는 전해질 화학을 포함한다. 제 2 메니스커스 (116') 는 복수의 입력부 (112a) 에 의해 공급된 IPA 증기 및 복수의 입력부 (112b') 를 통해 공급된 전해질 (110') 을 포함한다. 일 예시적인 실시형태에서, 복수의 입력부 (112b') 는 제 2 근접 헤드 (102') 에서 전해질 용액을 제공하며 웨이퍼 (104) 의 표면에서의 반응은 MeX [착물] → MeX +1 [착물] + e- 의 형태이다. 이 경우, Me는 Cu와 같은 금속이온일 수 있으며, x는 2이다. 착물제는 에틸렌 디아민 또는 암모니아 (NH3) 일 수 있다. 웨이퍼 (104) 의 표면으로부터 떨어지는 반응은 반대일 수 있으며, 예를 들어 MeX +1 [착물] + e- → MeX [착 물] 이다. 다른 화학은 유사한 기능을 제공할 수 있다; 화학은 카운터 전극 화학이 Cu → Cu+2 + 2e- 포텐셜보다 낮은 포텐셜을 갖도록 선택될 수 있으며, 따라서 카운터 전극에서 Cu가 용해되는 것을 억제한다. 또한, 제 2 근접 헤드 (102') 저면의 전해질 (110') 은 에틸렌 글리콜과 같은 다른 첨가제로 Cu 용해의 억제를 보조하도록 맞추어질 수 있다. 전기적 접속 (136) 은 근접 헤드 (102) 와 제 2 근접 헤드 (102') 사이에서 씨드층 (106) 을 통해 확립될 수도 있다. 이 전기적 접속 (136) 을 통해, 전해질 (110) 과 전해질 (110') 이 접속되어 산화환원 결합을 완료하고 근접 헤드 (102) 에 의한 도금을 가능하게 한다. 제 2 근접 헤드 (102') 가 캐소드 (즉, 네거티브 바이어스 전압 공급부) 에 링크를 제공한다는 것을 아는 것이 중요하며, 따라서, 웨이퍼 (104) 와의 물리적 컨택이 없어야 한다. 근접 헤드 (102) 와 제 2 근접 헤드 (102') 의 조합은 바람직한 금속 재료의 보다 효율적이고 균일한 도금을 제공하는 웨이퍼 (104) 로의 무컨택 접속을 정의한다.
다른 실시형태에서, 에디 전류 센서 (114) 는 근접 헤드 (102) 에 일체화된다. 에디 전류 센서 (114) 는 금속층의 존재 및 두께를 결정하고 언제 특정한 프로세스가 완료되는지를 결정하기 위해 사용된다. 일 실시형태에서, 증착된 층 (108) 의 두께는 증착 프로세스 동안에 에디 전류 센서 (114) 에 의해 감지될 수 있다. 이 방법에서, 금속 재료의 제어된 애플리케이션이 달성된다. 도 2d는 증착된 층 (108) 이 웨이퍼 (104) 의 표면 상에 적용됨에 따른 진행을 도시하며, 여기서 제 2 근접 헤드 (102') 는 증착된 층 (108) 상에 위치한다.
도 3은 본 발명의 일 실시형태에 따른 전기도금 및 평탄화 장치 (300) 를 도시한다. 근접 헤드 (102) 는 앞서 논의한 방법으로 작동한다. 제 2 헤드 (102') 는 상기 논의한 도금 작업에 대한 전기적 경로를 제공한다. 또한, 이 실시형태에서 제 2 헤드 (102') 에는 연마 패드 (150) 가 장착된다. 연마 패드 (150) 는 증착된 층 (108) 의 레벨링 (leveling) 을 제공하여 평탄화된 층 (108') 이 되도록 한다. 연마 패드 (150) 의 존재는 제 2 헤드 (102') 의 전기적 접속 (136) 을 방지하지 않는다. 무연마제 반응성 화학물질은 복수의 입력부 (112a 및 112b') 에 의해 운반되어 레벨링 프로세스를 보조할 수도 있다. 평탄화된 층 (108') 은 근접 헤드 (102) 저면의 증착 프로세스와 동시에 제 2 근접 헤드 (102') 하에서 달성될 수 있다.
다른 실시형태에서, 평탄화는 제 1 근접 헤드 (102) 및 제 2 근접 헤드 (102') 와는 독립적으로 동작하는 제 3 헤드의 저면에서 달성된다. 메니스커스 형성을 통해 운반된 유체와 IPA로의 한정은 헤드에 일체화된 연마 패드와 함께 평탄화를 용이하게 하는 무연마제 화학일 수 있다.
다른 실시형태에서, 연마 패드 (150) 를 갖는 제 2 근접 헤드 (102') 에는 스캐테로미터 시스템 (scatterometer system) (156) 이 장착되고, 스캐테로미터 시스템은 증착된 층 (108) 의 지형으로부터 백스캐터 파라미터를 감지함으로써 평탄화 제어를 제공한다.
도 4는 본 발명에 따른 전기도금 및 평탄화 장치 (400) 의 작업의 예시적인 방법을 제공하는 흐름도이다. 상기 도 1 내지 도 3에서 기술된 전기도금 장치 에서, 오퍼레이터는 씨드층 (402) 을 갖는 웨이퍼를 제공해야 한다. 대안적인 실시형태에서, 웨이퍼는 그 상부에 형성된 씨드층을 갖지 않을 수도 있다. 웨이퍼는 많은 방법으로 웨이퍼 지지부로 이동될 수도 있다. 웨이퍼 이동은 기계적, 진공, 정전기 또는 웨이퍼를 유지하는 다른 방법들에 의해 보조되는 일련의 수동 또는 자동화된 로보트 이동을 포함할 수도 있다. 웨이퍼가 지지부 상에 위치하면, 오퍼레이터는 증착 (404) 을 위한 바람직한 재료를 선택해야 한다. 다음으로, 근접 헤드는 바람직한 증착 영역 (406) 상에 위치된다. 근접 헤드의 위치는 자동화된 루틴 (routine) 에 의해 미리 정해지거나 용이해질 수도 있다. 전압 바이어스는 웨이퍼 및 암 이동 동안 또는 유체가 상술한 복수의 입력부를 통해 제공될 때를 포함하여 증착 이전의 임의의 시기에 증착 (408) 을 담당하는 근접 헤드에 인가될 수도 있다. 근접 헤드가 인가된 바이어스 전압을 가지면, 선택된 유체 입력부 및 진공 출력부가 근접 헤드 저면에 인가되고 (410), 재료가 증착된다 (412).
증착된 층 (414) 의 인-시추 측정은 바람직한 두께가 달성되는 것 (416) 을 보장한다. 근접 헤드는 인-시추 측정 시스템 (414) 으로부터 제공된 피드백에 의해 바람직한 두께가 달성될 때까지 현재 위치에서 머무른다. 일 실시형태에서, 측정 시스템은 상술한 에디 전류 센서 시스템의 하나일 수도 있다. 물론, 다른 두께 측정 기술이 이용될 수도 있다. 바람직한 증착 두께가 달성되면, 증착을 담당하는 근접 헤드는 유체 운반 및 제거 (420) 를 중지할 것이다. 시스템은 그 후 다음 웨이퍼 (422) 를 위해 셋업된다. 일 실시형태에서, 근접 헤드 는 웨이퍼의 평면으로부터 제거되는 반면 다른 실시형태에서는 헤드가 웨이퍼의 상부에 잔류하는 동안 웨이퍼 자체가 이동될 수도 있다. 웨이퍼가 제거되면 다른 웨이퍼가 후속 증착을 위해 지지부 상에 위치될 수도 있다.
상기 도 1d 및 도 3에 기술한 바와 같이 시스템에 평탄화 컴포넌트가 장착될 경우, 증착된 재료는 소망하는 영역에 걸쳐 균일한 증착을 보조하기 위해 레벨링될 것이다. 인-시추 측정 기술은 증착된 층이 평탄화되는 것 (424) 을 보장하기 위해 사용될 수도 있다. 충분한 평탄화가 달성될 때, 유체 운반 및 제거 시스템이 중지될 수 있으며 (420) 시스템은 다음 웨이퍼를 위해 셋업 (422) 될 수 있다. 일 실시형태에서, 근접 헤드는 웨이퍼의 평면으로부터 제거되고, 반면 다른 실시형태에서는, 헤드가 웨이퍼 상부에 잔류한 채로 웨이퍼 자체가 이동될 수도 있다. 웨이퍼가 제거되면, 후속 증착 및 평탄화를 위해 다른 웨이퍼가 지지부 상에 위치될 수도 있다.
본 발명을 몇몇 바람직한 실시형태에 관해 설명하였지만, 당업자가 상기 명세서를 읽고 도면을 연구함으로써 다양한 변경, 추가, 치환 및 그 균등물을 구현할 수 있다는 것을 이해할 것이다. 예를 들어, 여기에 기술된 전기도금 시스템은 예를 들어, 200 ㎜ 웨이퍼, 300 ㎜ 웨이퍼, 플랫 패널 등과 같이 임의의 형상 및 사이즈의 기판에 이용될 수도 있다. 따라서 본 발명은 청구한 발명의 본래의 정신 및 범위 내에 존재하는 그러한 모든 변경, 추가, 치환 및 그 균등물을 포함하도록 의도된다.

Claims (39)

  1. 캐소드로서 전기적으로 하전될 수 있는 웨이퍼의 표면을 전기도금 하는 전기도금 장치로서,
    복수의 입력부 및 복수의 출력부를 가지며 애노드로서 전기적으로 하전될 수 있는 근접 헤드를 포함하여,
    상기 근접 헤드가 상기 웨이퍼 표면에 가까이 위치될 때, 상기 복수의 입력부의 각각은 상기 웨이퍼의 표면으로 유체를 운반할 수 있으며 상기 복수의 출력부의 각각은 상기 웨이퍼의 표면으로부터 상기 유체를 제거할 수 있으며, 상기 웨이퍼 표면으로의 유체의 운반 및 상기 웨이퍼 표면으로부터의 유체의 제거는 상기 웨이퍼와 상기 근접 헤드가 하전될 때 국소적인 금속 도금을 가능하게 하는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  2. 제 1 항에 있어서,
    상기 웨이퍼는 네거티브 바이어스 전력 공급부와의 컨택 (contact) 에 의해 캐소드로서 전기적으로 하전되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  3. 제 2 항에 있어서,
    상기 컨택은 상기 웨이퍼의 에지 외부 영역에 형성되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  4. 제 1 항에 있어서,
    상기 근접 헤드는 포지티브 바이어스 전압 공급과의 전기적 컨택을 통해 애노드로서 전기적으로 하전되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  5. 제 1 항에 있어서,
    상기 근접 헤드 상의 상기 복수의 입력부의 각각은 원형 도관, 고리모양의 링 및 분리된 도관 중 하나로 정의되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  6. 제 1 항에 있어서,
    상기 유체는 하나 이상의 유체로 정의되고 상기 유체는 이소프로필 알콜 (IPA), 전해질 용액, 금속 도금을 가능하게 하는 도금 화학, 및 무연마제 (abrasive-free) 반응성 화학물질로 구성된 그룹으로부터 선택되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  7. 제 6 항에 있어서,
    상기 도금 화학은 구리 재료, 니켈 재료, 탈륨 재료, 탄탈륨 재료, 티타늄 재료, 텅스텐 재료, 코발트 재료, 합금 재료, 및 복합 금속 재료 중 하나를 포함하는 증착 금속의 수용액에 의해 정의되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  8. 제 1 항에 있어서,
    상기 근접 헤드 상의 상기 복수의 출력부의 각각은 원형 도관, 고리모양의 링, 및 분리된 도관 중 하나로 정의되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  9. 제 1 항에 있어서,
    상기 국소적인 금속 도금은 상기 근접 헤드 저면의 영역 내에서 상기 유체의 체적을 한정하고, 상기 영역은 상기 웨이퍼 표면의 전체보다 작은, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  10. 제 1 항에 있어서,
    에디 전류 센서는 상기 국소적인 금속 도금의 모니터링을 가능하게 하는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  11. 제 1 항에 있어서,
    연마 패드는 상기 근접 헤드 하부의 두께 편차의 레벨링 (leveling) 을 보조하는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  12. 제 11 항에 있어서,
    상기 복수의 입력부에 의해 공급되는 상기 무연마제 반응성 화학물질은 상기 연마패드에 적용되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  13. 웨이퍼 표면 상부에 위치하도록 구성된 제 1 근접 헤드로서, 상기 웨이퍼 표면 상부에 금속층을 증착하기 위해 애노드로서 전기적으로 하전된 제 1 유체는 상기 근접 헤드와 상기 웨이퍼의 표면 사이에서 발생할 수 있는, 상기 제 1 근접 헤드; 및
    상기 웨이퍼의 표면 상부에 위치하도록 구성된 제 2 근접 헤드로서, 상기 웨이퍼의 표면 상부에서 비소모성 화학 반응을 가능하게 하기 위해 캐소드로서 전기적으로 하전된 제 2 유체는 상기 근접 헤드와 상기 웨이퍼의 표면 사이에서 발생할 수 있는, 상기 제 2 근접 헤드를 포함하며,
    전기적 접속은 상기 웨이퍼의 표면 상부에 상기 금속층을 증착할 때 상기 제 1 유체와 상기 제 2 유체 사이에서 정의되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  14. 제 13 항에 있어서,
    상기 제 1 유체는 포지티브 바이어스 전압 공급부와의 전기적 컨택을 통해 애노드로서 하전된 상기 제 1 유체의 전해질 특성을 통해 상기 기판에 전기적 결합 (coupling) 을 제공하는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  15. 제 13 항에 있어서,
    상기 제 2 유체는 네거티브 바이어스 전압 공급부와의 전기적 컨택을 통해 캐소드로서 하전된 상기 제 2 유체의 전해질 특성을 통해 상기 기판에 전기적 결합을 제공하는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  16. 제 13 항에 있어서,
    상기 제 2 근접 헤드 저면에서 비소모성 화학 반응은 오프세팅 (offsetting) 반응을 생성함으로써 상기 금속층의 용해를 방지하는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  17. 제 16 항에 있어서,
    상기 비소모성 화학 반응은 산화 프로세스의 환원에 의해 생성되는 오프세팅 반응인, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  18. 제 13 항에 있어서,
    상기 제 1 근접 헤드와 상기 제 2 근접 헤드 상의 복수의 입력부의 각각은 원형 도관, 고리모양의 링, 및 분리된 도관 중 하나로 정의되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  19. 제 13 항에 있어서,
    상기 제 1 근접 헤드 및 상기 제 2 근접 헤드 상의 복수의 출력부 각각은 원형 도관, 고리모양의 링, 및 분리된 도관 중 하나로 정의되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  20. 제 13 항에 있어서,
    상기 제 1 근접 헤드 저면의 유체는 하나 이상의 유체로 정의되고, 상기 유체들은 이소프로필 알콜 (IPA), 전해질 용액, 및 금속 도금을 가능하게 하는 도금 화학으로 구성된 그룹으로부터 선택되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  21. 제 20 항에 있어서,
    상기 도금 화학은 구리 재료, 니켈 재료, 탈륨 재료, 탄탈륨 재료, 티타늄 재료, 텅스텐 재료, 코발트 재료, 합금 재료, 및 복합 금속 재료 중 하나를 포함하는 증착 금속의 수용액에 의해 정의되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  22. 제 13 항에 있어서,
    상기 제 2 근접 헤드 저면의 유체는 하나 이상의 유체로 정의되며, 상기 유체는 이소프로필 알콜 (IPA), 전해질 용액 및 물로 구성된 그룹으로부터 선택되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  23. 제 13 항에 있어서,
    상기 국소적인 금속 도금은 상기 제 1 근접 헤드 저면 영역 내의 유체의 체적을 한정하고, 상기 영역은 웨이퍼 표면의 전체보다 작은, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  24. 제 13 항에 있어서,
    상기 에디 전류 센서는 상기 제 1 근접 헤드 저면의 국소적인 금속 도금의 모니터링을 가능하게 하는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  25. 웨이퍼 표면 상부에 위치하도록 구성된 제 1 근접 헤드로서, 상기 웨이퍼 표면 상부에 금속층을 증착하기 위해 애노드로서 전기적으로 하전된 제 1 유체는 상기 근접 헤드와 상기 웨이퍼 표면 사이에서 발생할 수 있는, 상기 제 1 근접 헤드; 및
    상기 웨이퍼 표면 상부에 위치되고 상기 웨이퍼 표면과 물리적으로 컨택하도록 구성된 제 2 근접 헤드로서, 상기 제 2 근접 헤드는 상기 금속층 적어도 일부의 제거를 가능하게 하기 위해 패드에 의해 물리적으로 컨택되고, 상기 웨이퍼 표면 상부에 비소모성 화학 반응을 가능하게 하기 위해 캐소드로서 전기적으로 하전된 제 2 유체는 상기 근접 헤드와 상기 웨이퍼의 표면 사이에서 발생할 수 있는, 상기 제 2 근접 헤드를 포함하고,
    전기적 접속은 상기 웨이퍼 표면 상부에 상기 금속층을 증착할 때 상기 제 1 유체와 상기 제 2 유체 사이에서 정의되는, 웨이퍼의 표면을 전기도금 하는 전기도금 장치.
  26. 제 25 항에 있어서,
    상기 제 1 유체는 포지티브 바이어스 전압 공급부와의 전기적 컨택을 통해 애노드로서 하전된 상기 제 1 유체의 전해질 특성을 통해 상기 기판에 전기적 결합을 제공하는, 웨이퍼의 표면을 전기도금 하는 전기도금 장치.
  27. 제 25 항에 있어서,
    상기 제 2 유체는 네거티브 바이어스 전압 공급부와의 전기적 컨택을 통해 캐소드로서 하전된 제 2 유체의 전해질 특성을 통해 상기 기판에 전기적 결합을 제공하는, 웨이퍼의 표면을 전기도금 하는 전기도금 장치.
  28. 제 25 항에 있어서,
    상기 제 2 근접 헤드 저면에서 상기 비소모성 화학 반응은 오프세팅 반응을 생성함으로써 상기 금속층의 용해를 방지하는, 웨이퍼의 표면을 전기도금 하는 전기도금 장치.
  29. 제 28 항에 있어서,
    상기 비소모성 화학 반응은 산화 프로세스의 환원에 의해 생성된 오프세팅 반응인, 웨이퍼의 표면을 전기도금 하는 전기도금 장치.
  30. 제 25 항에 있어서,
    상기 제 1 근접 헤드와 상기 제 2 근접 헤드 상의 복수의 입력부의 각각은 원형 도관, 고리모양의 링, 및 분리된 도관 중 하나로 정의되는, 웨이퍼의 표면을 전기도금 하는 전기도금 장치.
  31. 제 25 항에 있어서,
    상기 제 1 근접 헤드 및 상기 제 2 근접 헤드 상의 복수의 출력부 각각은 원형 도관, 고리모양의 링, 및 분리된 도관 중 하나로 정의되는, 웨이퍼의 표면을 전기도금 하는 전기도금 장치.
  32. 제 25 항에 있어서,
    상기 제 1 근접 헤드 저면의 유체는 하나 이상의 유체로 정의되며, 상기 유체들은 이소프로필 알콜 (IPA), 전해질 용액, 및 금속 도금을 가능하게 하는 도금 화학으로 구성된 그룹으로부터 선택되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  33. 제 32 항에 있어서,
    상기 도금 화학은 구리 재료, 니켈 재료, 탈륨 재료, 탄탈륨 재료, 티타늄 재료, 텅스텐 재료, 코발트 재료, 합금 재료, 및 복합 금속 재료 중 하나를 포함하는 증착 금속의 수용액에 의해 정의되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  34. 제 25 항에 있어서,
    상기 제 2 근접 헤드 저면의 유체는 하나 이상의 유체이며, 상기 유체들은 이소프로필 알콜 (IPA), 전해질 용액, 물 및 무연마제 반응 화학물질로 구성된 그룹으로부터 선택되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  35. 제 25 항에 있어서,
    상기 국소적인 금속 도금은 상기 제 1 근접 헤드 저면 영역 내에서 유체의 체적을 한정하며, 상기 영역은 상기 웨이퍼 표면의 전체보다 작은, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  36. 제 25 항에 있어서,
    상기 제 1 근접 헤드 저면에 국소적인 금속 도금의 모니터링을 가능하게 하는 에디 전류 센서를 더 포함하는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  37. 제 25 항에 있어서,
    상기 패드는 상기 제 2 근접 헤드 하부의 금속층의 두께 편차를 레벨링하기 위한 연마 패드를 포함하는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  38. 제 37 항에 있어서,
    상기 제 2 근접 헤드 상의 복수의 입력부에 의해 공급되는 상기 무연마제 반응성 화학물질은 상기 연마 패드에 적용되는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
  39. 제 25 항에 있어서,
    상기 제 2 근접 헤드 저면의 금속층에서 두께 편차의 레벨링을 제어하는 스캐테로미터 시스템 (scatterometer system) 을 더 포함하는, 웨이퍼 표면을 전기도금 하는 전기도금 장치.
KR1020057025140A 2003-06-27 2004-05-24 반도체 웨이퍼의 박막 증착 및 평탄화 장치 및 방법 KR101136773B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/607,611 US7153400B2 (en) 2002-09-30 2003-06-27 Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US10/607,611 2003-06-27
PCT/US2004/016383 WO2005005692A1 (en) 2003-06-27 2004-05-24 Apparatus and method for depositing and planarizing thin films of semiconductor wafers

Publications (2)

Publication Number Publication Date
KR20060063808A true KR20060063808A (ko) 2006-06-12
KR101136773B1 KR101136773B1 (ko) 2012-04-19

Family

ID=34062291

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057025140A KR101136773B1 (ko) 2003-06-27 2004-05-24 반도체 웨이퍼의 박막 증착 및 평탄화 장치 및 방법

Country Status (8)

Country Link
US (3) US7153400B2 (ko)
EP (1) EP1639156A1 (ko)
JP (3) JP4828417B2 (ko)
KR (1) KR101136773B1 (ko)
CN (2) CN1842618B (ko)
MY (1) MY136159A (ko)
TW (1) TWI248132B (ko)
WO (1) WO2005005692A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101283009B1 (ko) * 2011-05-26 2013-07-05 주승기 전기 도금장치 및 전기 도금방법
KR101493738B1 (ko) * 2007-07-06 2015-02-16 램 리써치 코포레이션 기판을 처리하기 위해 제어된 메니스커스를 갖는 단상 근접 헤드

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6497800B1 (en) * 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US7427337B2 (en) * 1998-12-01 2008-09-23 Novellus Systems, Inc. System for electropolishing and electrochemical mechanical polishing
US7578923B2 (en) * 1998-12-01 2009-08-25 Novellus Systems, Inc. Electropolishing system and process
US6852208B2 (en) 2000-03-17 2005-02-08 Nutool, Inc. Method and apparatus for full surface electrotreating of a wafer
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US7153195B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
TWI236944B (en) * 2001-12-17 2005-08-01 Tokyo Electron Ltd Film removal method and apparatus, and substrate processing system
US20040031167A1 (en) 2002-06-13 2004-02-19 Stein Nathan D. Single wafer method and apparatus for drying semiconductor substrates using an inert gas air-knife
US7045018B2 (en) * 2002-09-30 2006-05-16 Lam Research Corporation Substrate brush scrubbing and proximity cleaning-drying sequence using compatible chemistries, and method, apparatus, and system for implementing the same
US7240679B2 (en) * 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US7153400B2 (en) 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US7293571B2 (en) 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7389783B2 (en) * 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US7367345B1 (en) 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US8236382B2 (en) * 2002-09-30 2012-08-07 Lam Research Corporation Proximity substrate preparation sequence, and method, apparatus, and system for implementing the same
US6988326B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
US7329321B2 (en) * 2002-09-30 2008-02-12 Lam Research Corporation Enhanced wafer cleaning method
US7632376B1 (en) 2002-09-30 2009-12-15 Lam Research Corporation Method and apparatus for atomic layer deposition (ALD) in a proximity system
US7614411B2 (en) 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US20070048447A1 (en) * 2005-08-31 2007-03-01 Alan Lee System and method for forming patterned copper lines through electroless copper plating
US7704367B2 (en) * 2004-06-28 2010-04-27 Lam Research Corporation Method and apparatus for plating semiconductor wafers
US7592259B2 (en) 2006-12-18 2009-09-22 Lam Research Corporation Methods and systems for barrier layer surface passivation
US20050173260A1 (en) * 2003-03-18 2005-08-11 Basol Bulent M. System for electrochemical mechanical polishing
US7675000B2 (en) * 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US7648622B2 (en) * 2004-02-27 2010-01-19 Novellus Systems, Inc. System and method for electrochemical mechanical polishing
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US7811424B1 (en) * 2004-06-30 2010-10-12 Lam Research Corporation Reducing mechanical resonance and improved distribution of fluids in small volume processing of semiconductor materials
US7645364B2 (en) * 2004-06-30 2010-01-12 Lam Research Corporation Apparatus and method for plating semiconductor wafers
US7566390B2 (en) * 2004-12-15 2009-07-28 Lam Research Corporation Wafer support apparatus for electroplating process and method for using the same
US7368042B2 (en) * 2004-12-30 2008-05-06 United Microelectronics Corp. Electroplating apparatus including a real-time feedback system
US7416648B2 (en) * 2005-05-12 2008-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor system for monitoring condition of electrode for electrochemical process tools
US20090266707A1 (en) * 2005-08-26 2009-10-29 Novellus Systems, Inc. Pad-assisted electropolishing
US20070082299A1 (en) * 2005-10-11 2007-04-12 Lam Research Corp. Methods and apparatus for fabricating conductive features on glass substrates used in liquid crystal displays
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US8813764B2 (en) 2009-05-29 2014-08-26 Lam Research Corporation Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer
US7811423B2 (en) * 2006-10-06 2010-10-12 Lam Research Corporation Proximity processing using controlled batch volume with an integrated proximity head
JP4755573B2 (ja) * 2006-11-30 2011-08-24 東京応化工業株式会社 処理装置および処理方法、ならびに表面処理治具
US7749893B2 (en) * 2006-12-18 2010-07-06 Lam Research Corporation Methods and systems for low interfacial oxide contact between barrier and copper metallization
US8146902B2 (en) 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US20100015731A1 (en) * 2007-02-20 2010-01-21 Lam Research Corporation Method of low-k dielectric film repair
US7975708B2 (en) * 2007-03-30 2011-07-12 Lam Research Corporation Proximity head with angled vacuum conduit system, apparatus and method
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US8058164B2 (en) * 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
US8141566B2 (en) * 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8323460B2 (en) * 2007-06-20 2012-12-04 Lam Research Corporation Methods and systems for three-dimensional integrated circuit through hole via gapfill and overburden removal
US8673769B2 (en) * 2007-06-20 2014-03-18 Lam Research Corporation Methods and apparatuses for three dimensional integrated circuits
JP4971078B2 (ja) * 2007-08-30 2012-07-11 東京応化工業株式会社 表面処理装置
CN100582314C (zh) * 2007-09-10 2010-01-20 厦门致力金刚石工具有限公司 抛镀机
US7967960B2 (en) * 2007-11-06 2011-06-28 United Microelectronics Corp. Fluid-confining apparatus
US8551313B2 (en) * 2007-11-15 2013-10-08 International Business Machines Corporation Method and apparatus for electroplating on soi and bulk semiconductor wafers
US20100200409A1 (en) * 2009-02-11 2010-08-12 United Solar Ovonic Llc Solution deposition and method with substrate making
US8633097B2 (en) 2009-06-09 2014-01-21 International Business Machines Corporation Single-junction photovoltaic cell
US20110048517A1 (en) * 2009-06-09 2011-03-03 International Business Machines Corporation Multijunction Photovoltaic Cell Fabrication
US8703521B2 (en) * 2009-06-09 2014-04-22 International Business Machines Corporation Multijunction photovoltaic cell fabrication
US20100310775A1 (en) * 2009-06-09 2010-12-09 International Business Machines Corporation Spalling for a Semiconductor Substrate
US8802477B2 (en) * 2009-06-09 2014-08-12 International Business Machines Corporation Heterojunction III-V photovoltaic cell fabrication
ITMI20100407A1 (it) * 2010-03-12 2011-09-13 Rise Technology S R L Cella foto-voltaica con regioni di semiconduttore poroso per ancorare terminali di contatto
DE102010033256A1 (de) * 2010-07-29 2012-02-02 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Methode zur Erzeugung gezielter Strömungs- und Stromdichtemuster bei der chemischen und elektrolytischen Oberflächenbehandlung
US8557099B2 (en) * 2010-10-25 2013-10-15 Ppg Industries Ohio, Inc. Electrocurtain coating process for coating solar mirrors
KR102092416B1 (ko) 2012-03-30 2020-03-24 노벨러스 시스템즈, 인코포레이티드 역전류 디플레이팅을 이용한 전기도금 기판 홀더의 클리닝
TWI588900B (zh) * 2012-04-25 2017-06-21 Markus Hacksteiner Device and method for wafer metallization
US10416092B2 (en) 2013-02-15 2019-09-17 Lam Research Corporation Remote detection of plating on wafer holding apparatus
US9746427B2 (en) * 2013-02-15 2017-08-29 Novellus Systems, Inc. Detection of plating on wafer holding apparatus
US9589853B2 (en) 2014-02-28 2017-03-07 Lam Research Corporation Method of planarizing an upper surface of a semiconductor substrate in a plasma etch chamber
EP3219831B1 (en) * 2014-11-14 2019-03-27 YKK Corporation Surface electrolytic treatment method for clothing accessory components, clothing accessories, and production method therefor
TWI560323B (en) * 2015-02-13 2016-12-01 Inotera Memories Inc Electrochemical plating device and anode assembly thereof
US9932666B2 (en) * 2015-03-30 2018-04-03 Siva Power, Inc. Fluid-assisted thermal management of evaporation sources
US10184189B2 (en) 2016-07-18 2019-01-22 ECSI Fibrotools, Inc. Apparatus and method of contact electroplating of isolated structures
US11214884B2 (en) 2017-07-11 2022-01-04 University Of South Florida Electrochemical three-dimensional printing and soldering
CN108054108B (zh) * 2017-12-19 2019-10-25 哈尔滨工业大学 一种基于快速局域电沉积的引线键合方法
CN110528039B (zh) * 2019-07-31 2020-11-17 浙江大学 基于微弱离子电流检测监控的微纳结构局域电沉积装置
US20230096305A1 (en) * 2020-03-02 2023-03-30 Tokyo Electron Limited Plating apparatus
CN112899743B (zh) * 2021-01-19 2021-09-21 鑫巨(深圳)半导体科技有限公司 一种电镀装置及电镀方法

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5126642A (ja) * 1974-08-29 1976-03-05 Inoue Japax Res Metsukihoho
JPS5127822A (ja) * 1974-09-02 1976-03-09 Inoue Japax Res Metsukisochi
US3953265A (en) * 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
US4086870A (en) * 1977-06-30 1978-05-02 International Business Machines Corporation Novel resist spinning head
JPS579894A (en) * 1980-06-18 1982-01-19 Osaki Kinzoku:Kk Partial plating method
US4367123A (en) * 1980-07-09 1983-01-04 Olin Corporation Precision spot plating process and apparatus
JPS5852034B2 (ja) 1981-08-26 1983-11-19 株式会社ソニツクス 部分メツキ方法及びその装置
US4444492A (en) * 1982-05-15 1984-04-24 General Signal Corporation Apparatus for projecting a series of images onto dies of a semiconductor wafer
US4838289A (en) * 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
JPS62150828A (ja) 1985-12-25 1987-07-04 Mitsubishi Electric Corp ウエハ乾燥装置
JPS62297494A (ja) * 1986-06-17 1987-12-24 Electroplating Eng Of Japan Co 半導体ウェハー用メッキ装置
JPH02197593A (ja) * 1989-01-26 1990-08-06 Nec Corp スポットめっきプローブ
JPH0712035B2 (ja) 1989-04-20 1995-02-08 三菱電機株式会社 噴流式液処理装置
JPH02309638A (ja) 1989-05-24 1990-12-25 Fujitsu Ltd ウエハーエッチング装置
JPH0628223Y2 (ja) * 1989-06-14 1994-08-03 大日本スクリーン製造株式会社 回転塗布装置
US5271774A (en) * 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
US5102494A (en) * 1990-07-13 1992-04-07 Mobil Solar Energy Corporation Wet-tip die for EFG cyrstal growth apparatus
US5294257A (en) * 1991-10-28 1994-03-15 International Business Machines Corporation Edge masking spin tool
US5343234A (en) * 1991-11-15 1994-08-30 Kuehnle Manfred R Digital color proofing system and method for offset and gravure printing
JP2877216B2 (ja) * 1992-10-02 1999-03-31 東京エレクトロン株式会社 洗浄装置
JP3308333B2 (ja) * 1993-03-30 2002-07-29 三菱電機株式会社 電解メッキ装置,及び電解メッキ処理方法
US5472502A (en) * 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5807522A (en) * 1994-06-17 1998-09-15 The Board Of Trustees Of The Leland Stanford Junior University Methods for fabricating microarrays of biological samples
ES2135752T3 (es) * 1994-06-30 1999-11-01 Procter & Gamble Bandas de transporte de fluido que exhiben gradientes de energia superficial.
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
JP3247270B2 (ja) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
US5558111A (en) * 1995-02-02 1996-09-24 International Business Machines Corporation Apparatus and method for carrier backing film reconditioning
US5601655A (en) * 1995-02-14 1997-02-11 Bok; Hendrik F. Method of cleaning substrates
JPH08277486A (ja) 1995-04-04 1996-10-22 Dainippon Printing Co Ltd リードフレームのめっき装置
TW386235B (en) * 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
US5660642A (en) * 1995-05-26 1997-08-26 The Regents Of The University Of California Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor
US5975098A (en) * 1995-12-21 1999-11-02 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of cleaning substrate
DE19622015A1 (de) * 1996-05-31 1997-12-04 Siemens Ag Verfahren zum Ätzen von Zerstörungszonen an einem Halbleitersubstratrand sowie Ätzanlage
US5985031A (en) * 1996-06-21 1999-11-16 Micron Technology, Inc. Spin coating spindle and chuck assembly
US5997653A (en) * 1996-10-07 1999-12-07 Tokyo Electron Limited Method for washing and drying substrates
US5830334A (en) * 1996-11-07 1998-11-03 Kobayashi; Hideyuki Nozzle for fast plating with plating solution jetting and suctioning functions
JPH1133506A (ja) * 1997-07-24 1999-02-09 Tadahiro Omi 流体処理装置及び洗浄処理システム
JPH10163138A (ja) * 1996-11-29 1998-06-19 Fujitsu Ltd 半導体装置の製造方法および研磨装置
JPH10232498A (ja) * 1997-02-19 1998-09-02 Nec Kyushu Ltd 現像装置
JPH1131672A (ja) 1997-07-10 1999-02-02 Hitachi Ltd 基板処理方法および基板処理装置
US6103636A (en) * 1997-08-20 2000-08-15 Micron Technology, Inc. Method and apparatus for selective removal of material from wafer alignment marks
US6398975B1 (en) * 1997-09-24 2002-06-04 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for localized liquid treatment of the surface of a substrate
JP4616948B2 (ja) 1997-09-24 2011-01-19 アイメック 回転基材の表面から液体を除去する方法および装置
EP0905746A1 (en) 1997-09-24 1999-03-31 Interuniversitair Micro-Elektronica Centrum Vzw Method of removing a liquid from a surface of a rotating substrate
US6491764B2 (en) * 1997-09-24 2002-12-10 Interuniversitair Microelektronics Centrum (Imec) Method and apparatus for removing a liquid from a surface of a rotating substrate
ATE287126T1 (de) 1997-09-24 2005-01-15 Imec Inter Uni Micro Electr Verfahren zum entfernen einer flüssigkeit von einer oberfläche einer substrat
WO1999041434A2 (en) * 1998-02-12 1999-08-19 Acm Research, Inc. Plating apparatus and method
AU2747999A (en) 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
US6108932A (en) * 1998-05-05 2000-08-29 Steag Microtech Gmbh Method and apparatus for thermocapillary drying
JPH11350169A (ja) 1998-06-10 1999-12-21 Chemitoronics Co ウエットエッチング装置およびウエットエッチングの方法
US6132586A (en) * 1998-06-11 2000-10-17 Integrated Process Equipment Corporation Method and apparatus for non-contact metal plating of semiconductor wafers using a bipolar electrode assembly
US6689323B2 (en) * 1998-10-30 2004-02-10 Agilent Technologies Method and apparatus for liquid transfer
US6413388B1 (en) * 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US6092937A (en) * 1999-01-08 2000-07-25 Fastar, Ltd. Linear developer
JP2000232078A (ja) * 1999-02-10 2000-08-22 Toshiba Corp メッキ方法及びメッキ装置
US6066030A (en) * 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US20020121290A1 (en) * 1999-08-25 2002-09-05 Applied Materials, Inc. Method and apparatus for cleaning/drying hydrophobic wafers
JP3635217B2 (ja) * 1999-10-05 2005-04-06 東京エレクトロン株式会社 液処理装置及びその方法
WO2001027357A1 (en) * 1999-10-12 2001-04-19 Semitool, Inc. Method and apparatus for executing plural processes on a microelectronic workpiece at a single processing station
DE19951325C2 (de) * 1999-10-20 2003-06-26 Atotech Deutschland Gmbh Verfahren und Vorrichtung zum elektrolytischen Behandeln von elektrisch gegeneinander isolierten, elektrisch leitfähigen Strukturen auf Oberflächen von elektrisch isolierendem Folienmaterial sowie Anwendungen des Verfahrens
DE19951324C2 (de) * 1999-10-20 2003-07-17 Atotech Deutschland Gmbh Verfahren und Vorrichtung zum elektrolytischen Behandeln von elektrisch leitfähigen Oberflächen von gegeneinander vereinzelten Platten- und Folienmaterialstücken sowie Anwendung des Verfahrens
US6341998B1 (en) * 1999-11-04 2002-01-29 Vlsi Technology, Inc. Integrated circuit (IC) plating deposition system and method
US6214513B1 (en) * 1999-11-24 2001-04-10 Xerox Corporation Slot coating under an electric field
US6433541B1 (en) * 1999-12-23 2002-08-13 Kla-Tencor Corporation In-situ metalization monitoring using eddy current measurements during the process for removing the film
US20030091754A1 (en) * 2000-02-11 2003-05-15 Thami Chihani Method for treating cellulosic fibres
US6474786B2 (en) * 2000-02-24 2002-11-05 The Board Of Trustees Of The Leland Stanford Junior University Micromachined two-dimensional array droplet ejectors
US6495005B1 (en) * 2000-05-01 2002-12-17 International Business Machines Corporation Electroplating apparatus
CN1247314C (zh) * 2000-05-16 2006-03-29 明尼苏达大学评议会 电喷射方法和设备
US20020023715A1 (en) * 2000-05-26 2002-02-28 Norio Kimura Substrate polishing apparatus and substrate polishing mehod
JP2004515053A (ja) 2000-06-26 2004-05-20 アプライド マテリアルズ インコーポレイテッド ウェーハ洗浄方法及び装置
US7000622B2 (en) * 2002-09-30 2006-02-21 Lam Research Corporation Methods and systems for processing a bevel edge of a substrate using a dynamic liquid meniscus
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US6488040B1 (en) * 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying
US6530823B1 (en) * 2000-08-10 2003-03-11 Nanoclean Technologies Inc Methods for cleaning surfaces substantially free of contaminants
JP2002097594A (ja) * 2000-09-20 2002-04-02 Ebara Corp 基板めっき装置及び基板めっき方法
US6555017B1 (en) * 2000-10-13 2003-04-29 The Regents Of The University Of Caliofornia Surface contouring by controlled application of processing fluid using Marangoni effect
US6550988B2 (en) * 2000-10-30 2003-04-22 Dainippon Screen Mfg., Co., Ltd. Substrate processing apparatus
US6531206B2 (en) * 2001-02-07 2003-03-11 3M Innovative Properties Company Microstructured surface film assembly for liquid acquisition and transport
WO2002101798A2 (en) 2001-06-12 2002-12-19 Verteq, Inc. Method of applying liquid to a megasonic apparatus for improved cleaning control
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
JP2003133316A (ja) * 2001-08-13 2003-05-09 Ebara Corp 半導体装置及びその製造方法
JP2003115474A (ja) * 2001-10-03 2003-04-18 Ebara Corp 基板処理装置及び方法
JP4003441B2 (ja) 2001-11-08 2007-11-07 セイコーエプソン株式会社 表面処理装置および表面処理方法
US6799584B2 (en) * 2001-11-09 2004-10-05 Applied Materials, Inc. Condensation-based enhancement of particle removal by suction
US7513262B2 (en) * 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7240679B2 (en) * 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US7389783B2 (en) * 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US6954993B1 (en) * 2002-09-30 2005-10-18 Lam Research Corporation Concentric proximity processing head
US6988327B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
CN100350552C (zh) 2002-09-30 2007-11-21 拉姆研究公司 使用弯液面、负压、ipa蒸汽、干燥歧管进行基板处理的系统
US7614411B2 (en) * 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US7252097B2 (en) * 2002-09-30 2007-08-07 Lam Research Corporation System and method for integrating in-situ metrology within a wafer process
US7293571B2 (en) * 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7093375B2 (en) * 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US7069937B2 (en) * 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US7153400B2 (en) 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US6988326B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
SG121822A1 (en) * 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1489461A1 (en) 2003-06-11 2004-12-22 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US6867844B2 (en) 2003-06-19 2005-03-15 Asml Holding N.V. Immersion photolithography system and method using microchannel nozzles
JP2004149926A (ja) * 2003-11-20 2004-05-27 Matsushita Electric Ind Co Ltd 埋め込み配線の形成方法
US7353560B2 (en) * 2003-12-18 2008-04-08 Lam Research Corporation Proximity brush unit apparatus and method
US7003899B1 (en) * 2004-09-30 2006-02-28 Lam Research Corporation System and method for modulating flow through multiple ports in a proximity head

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101493738B1 (ko) * 2007-07-06 2015-02-16 램 리써치 코포레이션 기판을 처리하기 위해 제어된 메니스커스를 갖는 단상 근접 헤드
KR101283009B1 (ko) * 2011-05-26 2013-07-05 주승기 전기 도금장치 및 전기 도금방법

Also Published As

Publication number Publication date
MY136159A (en) 2008-08-29
WO2005005692A1 (en) 2005-01-20
JP2010216015A (ja) 2010-09-30
JP4828417B2 (ja) 2011-11-30
KR101136773B1 (ko) 2012-04-19
TWI248132B (en) 2006-01-21
CN1842618A (zh) 2006-10-04
US7947157B2 (en) 2011-05-24
US7153400B2 (en) 2006-12-26
CN101880902A (zh) 2010-11-10
JP2010236090A (ja) 2010-10-21
EP1639156A1 (en) 2006-03-29
US20060260932A1 (en) 2006-11-23
TW200501270A (en) 2005-01-01
US20040178060A1 (en) 2004-09-16
CN1842618B (zh) 2010-08-11
JP2007521391A (ja) 2007-08-02
US20110155563A1 (en) 2011-06-30

Similar Documents

Publication Publication Date Title
KR101136773B1 (ko) 반도체 웨이퍼의 박막 증착 및 평탄화 장치 및 방법
JP5780935B2 (ja) 半導体ウェーハをメッキする装置及び方法
US6478936B1 (en) Anode assembly for plating and planarizing a conductive layer
US6902659B2 (en) Method and apparatus for electro-chemical mechanical deposition
US7578923B2 (en) Electropolishing system and process
US20010042690A1 (en) Method and apparatus for electroplating and electropolishing
US20070045120A1 (en) Methods and apparatus for filling features in microfeature workpieces
WO2002019418A2 (en) Method for achieving copper fill of high aspect ratio interconnect features
US7323095B2 (en) Integrated multi-step gap fill and all feature planarization for conductive materials
JP2004209588A (ja) 研磨装置及び研磨方法
US20040094403A1 (en) Integrated plating and planarization apparatus having a variable-diameter counterelectrode
US20050040049A1 (en) Anode assembly for plating and planarizing a conductive layer
JP2022550449A (ja) リップシールプレートアウトを防止するためのウエハ遮蔽

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee