JP2010216015A - 半導体ウェーハの薄膜を堆積および平坦化する装置 - Google Patents

半導体ウェーハの薄膜を堆積および平坦化する装置 Download PDF

Info

Publication number
JP2010216015A
JP2010216015A JP2010066565A JP2010066565A JP2010216015A JP 2010216015 A JP2010216015 A JP 2010216015A JP 2010066565 A JP2010066565 A JP 2010066565A JP 2010066565 A JP2010066565 A JP 2010066565A JP 2010216015 A JP2010216015 A JP 2010216015A
Authority
JP
Japan
Prior art keywords
electroplating
wafer
proximity head
electroplating apparatus
fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010066565A
Other languages
English (en)
Inventor
Mike Ravkin
ラヴキン・マイク
John Boyd
ボイド・ジョン
Yezdi N Dordi
ドーディ・イエッジ・エヌ.
Fred C Redeker
レデカー・フレッド・シー.
Larios John M De
デラリオス・ジョン・エム.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2010216015A publication Critical patent/JP2010216015A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/10Electrodes, e.g. composition, counter electrode
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/026Electroplating of selected surface areas using locally applied jets of electrolyte
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/22Electroplating combined with mechanical treatment during the deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】半導体ウェーハの堆積および平坦化に関し、特に、局所堆積を使用して薄膜をより効果的に堆積させると共に、局所平坦化を可能にする装置および手法を提供する。
【解決手段】陽極として帯電可能な近接ヘッド102を、ウェーハ104の表面に極めて近接して配置する。メッキ流体を、前記ウェーハと前記近接ヘッドとの間に提供し、局所金属メッキを形成する。近接ヘッドが方向120でウェーハ全体を進む際に、シード層106上に堆積層108が形成される。堆積層は、近接ヘッドとシード層との間に定められたメニスカス116に含有される電解質110によって促進される電気化学反応を介して形成される。
【選択図】図1B

Description

本発明は、半導体ウェーハの堆積および平坦化に関し、特に、局所堆積を使用して薄膜をより効果的に堆積させると共に、局所平坦化を可能にする装置および手法に関する。
電気メッキは、十分に確立された堆積技術である。半導体製造技術において、電気メッキは、通常、単一ウェーハプロセッサにおいて、ウェーハを電解質に浸漬した状態で行われる。電気メッキ中、ウェーハは、通常、陽極の役割を果たす正帯電プレート(同じく電解質に浸漬)に対して負の電位または接地電位で、ウェーハホルダに保持される。例えば、銅層を形成するには、電解質は、通常、約0.3Mないし約0.85MのCuSO4であり、pHは約0ないし約2(H2SO4により調整)で、堆積品質を向上させる微量レベル(ppm単位の濃度)の独自の有機添加物およびCl-を含む。メッキプロセス中には、均一なメッキを促進するために、通常、ウェーハを回転させる。メッキプロセス中に十分な膜厚が達成された後、ウェーハをメッキチャンバから別のチャンバへ移動させて、脱イオン(DI)水中でリンスし、残存電解質をウェーハ表面から除去する。次に、裏面およびはす縁から不要な銅を除去するために、ウェーハには追加のウェット処理が施され、その後、更にDI水でのリンスにより、ウェット処理の残留化学物質を除去する。その後、ウェーハを乾燥させ、アニールすると、化学機械平坦化(CMP)工程の準備が整う。
特表2002−503766号公報
ウェーハの真空処理とは異なり、現在のウェーハ処理中の各「ウェット」処理工程の後には、DI水リンスのオーバヘッドステップが行われる。電解質の希釈の問題およびハードウェア設計の複雑性増加のため、DI水リンスは、通常、メッキチャンバ内では行われない。現在、ウェーハメッキツールのウェット処理ステーションの約50パーセントは、メッキ専用であり、ウェーハのスループットに大きな悪影響を与え、処理コストを増加させている。加えて、障壁層に対する直接的な銅メッキを可能にするために、表面活性化とメッキとの間の時間を最小化することが重要となる。表面活性化後にリンスを行い、ウェーハをメッキモジュールへ輸送するための追加的な時間は、表面活性化ステップの有効性を大幅に限定する。必要となるのは、ウェット処理ステップ間のDI水リンスを削除する方法である。
メッキプロセス中、ウェーハは、陰極の役割を果たし、ウェーハには電源を電気的に接続する必要がある。通常は、ウェーハホルダ上の多数の個別の接点により、ウェーハホルダをウェーハの縁部に電気的に接続する。ウェーハを電気メッキするのに利用される電流は、こうした接点を介して提供される。メッキ電流は、均一な堆積を提供するためにウェーハの周囲で均一に分布させる必要がある。均一な堆積には、抵抗シード層を介して、ウェーハとの一貫した接触抵抗を維持することが重要である。したがって、均一な堆積を提供するためには、接点が清浄であることが好適となる。場合によっては、接点の洗浄には、メッキ工程の生産性を更に限定する追加的なプロセスが必要となる。
銅電気メッキのもう一つの課題は、バイポーラ効果であり、接触抵抗が非常に高いときに観察される。この効果は、接点の真下にある銅シード層のエッチングを誘発し、これにより、電気メッキ中にウェーハと電源との間の電気的接触の役割を果たす。従来技術のアプローチでは、接点を電解質に対して密閉し、これにより接点のメッキを防止し、バイポーラ降下を除去することで、この問題を解決しようと試みてきた。残念なことに、密閉は完全ではなく、接点が汚染され、ウェーハに沿った接点の電流分布は、不均一なメッキを発生させる。結果として、接触抵抗は、メッキプロセス中に他の何らかの方法の能動的モニタリングによって制御する必要がある。
更なる不利な物理的課題は、接点をウェーハの表面に当接させるときに発生する。接点は通常、ウェーハの除外区域(ウェーハの1ないし3mmの外側領域)に設置されるが、ウェーハとの一貫した電気的接触を維持するために、ある程度の量の力を加える必要がある。こうした力を加えることで、場合によっては、多孔性低k誘電体膜等、特定の材料における機械的応力により、ウェーハ上に欠陥が生じる可能性がある。
半導体ウェーハ上の構成の寸法が縮小を続けるにつれ、銅シード層の厚さも、現在の約1000オングストロームから約400オングストローム未満へ減少することが予想されている。シード層の厚さの減少は、銅電気メッキプロセス中における空隙のないギャップ充填を可能にするために、構成の最上部に妥当なサイズの開口部を確保する上で必要となる。シード層の役割は電気メッキ中にメッキ電流をウェーハ全体に分布させることであるため、薄く抵抗の大きいシード層によって、ウェーハ外周の接点近くを均一にメッキする設計のチャンバには、大きな課題が持ち込まれる。末端効果として知られるこの効果は、現在の300nmウェーハのような大型のウェーハにおいて更に顕著となる。
したがって、必要なものは、リンス処理を限定し、過剰な表面力を加えることなく十分な電気的接触を提供すると共に、シード層を殆どまたは全く備えていないウェーハ上で均一な電気メッキを生成する電気メッキシステムである。
大まかに言って、本発明は、メニスカスに基づくメッキプロセスを使用した局所電気メッキを提供する装置である。本発明において、メッキおよび平坦化プロセスは、ウェーハ表面全体で進行するか、あるいはサブアパチャメッキの場合、ウェーハより小さいサイズのメッキヘッドがウェーハを走査し、局所メッキを提供する。
本発明は、プロセス、装置、システム、デバイス、または方法を含む多数の形で実施可能であることを理解されたい。本発明のいくつかの発明実施形態について、下で説明する。
一実施形態では、ウェーハの表面を電気メッキする電気メッキ装置が提供される。ウェーハの表面は、陰極として帯電可能である。陽極として帯電可能な近接ヘッドが含まれる。近接ヘッドは、複数の投入部と、複数の排出部とを有し、近接ヘッドがウェーハの表面近くに配置される時、複数の投入部のそれぞれは、ウェーハの表面に流体を送給可能であり、複数の排出部のそれぞれは、ウェーハの表面から流体を除去できる。ウェーハの表面での流体の送給および除去により、ウェーハおよび近接ヘッドが帯電されるときに局所金属メッキが可能となる。
本発明の別の実施形態において、陽極として帯電された第一の流体は、金属層を堆積させるために第一の近接ヘッドとウェーハの表面との間に生成される。ウェーハの表面上での非消耗化学反応を可能にするために陰極として帯電された第二の流体は、第二の近接ヘッドとウェーハの表面との間に生成可能である。電気接続は、ウェーハの表面上に金属層を堆積させるときに、第一の流体と第二の流体との間で画定される。
本発明の更に別の実施形態において、陽極として帯電された第一の流体は、金属層を堆積させるために第一の近接ヘッドとウェーハの表面との間に生成される。ウェーハの表面上での非消耗化学反応を可能にするために陰極として帯電された第二の流体は、第二の近接ヘッドとウェーハの表面との間に生成可能である。電気接続は、ウェーハの表面上に金属層を堆積させるときに、第一の流体と第二の流体との間で画定される。第二の近接ヘッドは、金属層の少なくとも一部の除去を可能にするために、パッドを経由して堆積層と物理的に接触した状態に置かれる。
本発明の利点は無数に存在し、最も顕著なものとして、実施形態により、局所メッキが可能となり、これにより、メッキの活性区域が低減され、化学交換が改善される。局所金属メッキは、シード層に分布させる必要がある総メッキ電流を低減し、これにより、抵抗シード層効果を大幅に低減し、堆積の均一性を向上させる。インサイチュ(in−situ)膜厚制御および平坦化は、処理中のウェーハ輸送数を低減し、一個の設備にいくつかの用途を集約することで、生産性の増加を生み出す。本発明の他の態様および利点は、本発明の原理を例示する添付図面と併せて、以下の詳細な説明から明白となろう。
本発明は、添付図面と併せて、以下の詳細な説明によって容易に理解されよう。この説明を容易にするために、同様の参照符号は、同様の構造要素を示す。
電気メッキ装置を例示する図である。 局所金属メッキ中の状態を示された電気メッキ装置を例示する図である。 電気メッキ装置の近接ヘッドの下面図である。 平坦化用の研磨パッドを備えた電気メッキ装置の予想図である。 ウェーハとの機械的接触のない電気メッキ装置を例示する図である。 電気メッキ工程のためにウェーハとの機械的接触のない電気メッキ装置によって使用される電解反応を例示する図である。 ウェーハ表面の界面における電気メッキヘッドおよび第二のヘッドを図示した、機械的接触のない電気メッキ装置の断面図である。 電気メッキヘッドおよび第二のヘッドをウェーハ表面上で利用した際の堆積層の進展を図示した、機械的接触のない電気メッキ装置の断面図である。 ウェーハ表面との界面にある電気メッキヘッドおよび電解ヘッドを示した、機械的接触のない電気メッキ装置の断面図であって、第二のヘッドが平坦化用の研磨パッドを備えた場合の図である。 電気メッキ装置の動作のフローチャートである。
基板の表面を電気メッキする方法および装置についての発明を開示する。以下の説明では、本発明の完全な理解を提供するために、多数の具体的な詳細について述べる。しかしながら、こうした具体的な詳細の一部または全部がなくとも、本発明を実施し得ることは、当業者にとって明白であろう。また、本発明を不必要に曖昧にしないために、周知のプロセスステップについては詳細な説明を省略する。
図1Aは、本発明の一実施形態による例示的な電気メッキ装置100の図面である。シード層106を有するウェーハ104は、支持部130上に置かれる。負バイアス電源124は、電気的接触132を介して、陰極として機能するようにウェーハ104を帯電する。電気的接触132は、ウェーハ104を囲む単一のリング、個別の機械的接触、または複数の個別の接触の形態で設置してよい。好適な実施形態において、電気的接触132は、エッジ除外領域133と接触するように、ウェーハ104の周囲に加えられる。エッジ除外領域133は、通常、200および300mmウェーハにおいて、1ないし3mmである。
正電源122によって陽極として帯電された近接ヘッド102は、アーム103によってウェーハ104の上方につり下げられる。アーム103は、電気メッキ工程において利用される流体の送給および除去用の一本以上の導管を保持する導管チャネル105を収容できる。当然ながら、導管チャネル105は、ストラップでアーム103に固定する等、他の任意の適切な手法で近接ヘッド102に結合可能である。一実施形態において、アーム103は、方向120でのウェーハ104全体における近接ヘッド102の移動を促進するシステムの一部である。
近接ヘッド102の移動は、任意の数の方法でウェーハ104を走査するようにプログラムできる。システムは例示的なものであり、ウェーハに極めて近接した位置へのヘッド(群)の移動を可能にする他の任意の適切な種類の構成を利用してもよいことを理解されたい。例えば、ウェーハを回転させる時、近接ヘッド102は、ウェーハ104の中心で始動させ、徐々に外縁部まで直線的に移動させてよい。別の実施形態において、近接ヘッド102は、軌道を描いて周りながら、固定されたウェーハ上を進行することが可能であり、あるいは、別の場合には、ウェーハの全ての部分を処理可能となる形でウェーハ上方を移動できる。別の実施形態において、近接ヘッド102は、往復運動を使用してウェーハを走査してよく、あるいは、ウェーハの一方の縁部からウェーハの直径に沿った反対側の縁部へ直線的な形で移動してよく、あるいは、例えば、半径方向運動、円運動、螺旋運動、ジグザグ運動その他といった、その他の非線形運動を利用してもよい。運動も、ユーザの必要に応じて、任意の適切な指定された運動プロフィールにしてよい。この運動中、メッキ工程により、金属材料の均一な層がウェーハ104の表面に送給されることが望ましい。近接ヘッド102の機能とメッキ手法とに関する詳細は、下で更に詳しく説明する。
電気メッキ装置の局所金属メッキは、図1Bに図示されている。本明細書での使用において、局所金属メッキにおいては、金属材料を堆積させる近接ヘッド102下方の領域が定められる。図面に示したように、近接ヘッド102の下方の領域は、ウェーハ104の表面領域より小さい。したがって、局所金属メッキは、一定の時点で近接ヘッド102の下でのみ発生する。ウェーハ104の表面上で更に多くの金属メッキを達成するために、近接ヘッド102は、ウェーハ104の別の表面領域を移動する必要がある。例示的な実施形態において、近接ヘッドヘッド102は、図1Aに示したようなアーム103に結合される。ウェーハ104の所望の領域が特定の金属材料によって適切にメッキされた状態を確保するために、任意の数の移動パターンを使用可能だが、一方法では、制御された環境内でウェーハ104を回転させる間にアーム103を移動させる。加えて、アーム103は、近接ヘッド102を移動させる例示的な一方法にすぎない。例えば、近接ヘッド102を移動させる代わりに、ウェーハ104を移動させることができる。
図1Bに戻ると、近接ヘッド102は、シード層106を有するウェーハ104上方に配置される。シード層106は必須の構成ではないが、しかしながら、一部の実施形態は、電気メッキ工程を行う前にシード層106を上部に形成することで恩恵を受ける場合がある。メッキする材料が銅である時、シード層は、通常、公知の手法を使用してスパッタリングまたは堆積させ得る銅の薄層である。その後、近接ヘッド102が方向120でウェーハ104全体を進む際に、シード層106上に堆積層108が形成される、堆積層108は、近接ヘッド102とシード層106との間に定められたメニスカス116に含有される電解質110によって促進される電気化学反応を介して形成される。代替実施形態において、堆積層108は、シード層ではない層の上に形成できる。こうした層の例は、障壁層または他の何らかの層材料となり得る。
図1Cは、本発明の一実施形態による、近接ヘッド102の一般的な下面図を例示している。近接ヘッド102は、複数の投入部112aおよび112bと排出部112cとを有する。複数の投入部112aおよび112bと複数の排出部112cとは、一本以上の個別の導管によって定められ得る。各導管は、近接ヘッド102本体の製造中に機械加工または成型可能である。別の実施形態において、複数の投入部112aおよび112bと排出部112cとは、導管と同様に流体を輸送可能な環状リングによって定めることができる。複数の投入部112aおよび112bと排出部112cとの特定の構造の選択では、当業者に理解されるように、多数の物理的形態および形状を利用できる。しかしながら、選択された形態または形状において、投入部を介して流体を機能的に送給し、排出部を介して流体を除去できることが重要である。結果として、一実施形態において、ウェーハ104は、近接ヘッド102の下方の領域を除いて、全領域で乾燥状態を維持する。
図示したように、メッキ化学物質は、近接ヘッド102下での局所金属メッキを可能にする複数の投入部112bを介して供給される。メッキ化学物質は、銅の堆積用に設計してよいが、しかしながら、特定の用途(即ち、必要な金属材料の種類)に応じて、他のメッキ化学物質に置き換えてもよい。メッキ化学物質は、金属、合金、または複合金属材料を堆積させる水溶液として定義できる。一実施形態において、堆積金属は、一部として、銅材料、ニッケル材料、タリウム材料、タンタル材料、チタン材料、タングステン材料、コバルト材料、合金材料、複合金属材料、その他を含むことができる。
メッキ化学物質は、好ましくは、近接ヘッド102下のシード層106上に存在する流体の薄層として画定されるメニスカス116に閉じ込められる。メニスカス116を更に閉じ込めて定めるために、複数の投入部112aを介して、イソプロピルアルコール(IPA)蒸気を供給する。メッキメニスカス116の厚さは、所望の用途に基づいて変化してよい。一例において、メニスカスの厚さは、約0.1mmないし約10mmの範囲にしてよい。したがって、近接ヘッド102は、ウェーハ表面に近接して配置される。本明細書での使用において、「近接」は、近接ヘッド102下面とウェーハ104の表面との間の分離を意味し、分離は、流体メニスカスの形成を可能にする上で十分なものにするべきである。複数の排出部112cは、真空を提供して、複数の投入部112bおよび112aによって送給されたメッキ反応の流体副産物を除去する。
本発明によれば、堆積メッキ材料は、複数の投入部112bによって供給される電解質110において発生する化学反応によって形成される。近接ヘッド102を陽極として帯電することで、化学反応が促進される。一例において、近接ヘッドは、正バイアス電源122に電気的に結合される。メッキを可能にするために、負バイアス電源124への電気的接触132を介して陰極として帯電されたシード層において、化学物質中のイオンの還元が行われる。化学反応によって、堆積層108として金属層が形成される。反応副産物および劣化した反応流体は、複数の排出部112cを介して除去する。
別の実施形態では、渦電流センサ114が近接ヘッド102に統合される。渦電流センサ114は、金属層の存在および厚さを判定し、特定のプロセスが完了する時期(例えば、終点)を決定するために使用される。一実施形態において、堆積層108の厚さは、堆積プロセス中に検知できる。このようにして、制御された形での金属材料の付与が達成できる。当然ながら、堆積層108の厚さを測定する他の手法も使用できる。渦電流センサの機能の更に詳細な説明については、2002年6月28日提出の米国特許出願第10/186,472号「半導体処理ツールへのセンサに基づく測定の統合」を参照可能である。
図1Dは、別の実施形態による、電気メッキおよび研磨システム101を例示している。この実施形態において、近接ヘッド102は、堆積層108を平坦化することで支援を行う研磨パッド150を備えている。複数の投入部112aおよび112bによって供給される非研磨剤反応化学物質を研磨パッド150に添加し、平坦層108’を促進する。研磨パッド150は、パッド材料内のチャネルによって化学物質の流体の通過が可能となる限り、任意の数の材料で作成できる。一例において、材料は、化学機械研磨(CMP)機器において一般に使用される材料と類似する多孔性ポリマ材料にできる。他の材料には、例えば、ポリウレタン化合物、ミネソタ州ミネアポリスの3MのMWR64またはMWR69等の固定研磨材、その他を含めることができる。例示的な一工程において、金属材料の堆積は、研磨パッド150によって促進される研磨工程と殆ど同時に発生する。別の実施形態において、研磨は、金属材料を堆積させるのに使用するのと同じ近接ヘッド102を使用して実行できる。別の実施形態において、メッキヘッドおよび研磨ヘッドは、研磨ヘッドがメッキヘッドの後を追う形で、独立したワークにすることができる。しかしながら、研磨は、堆積が完了した後の時点で実行できる。理解できるように、堆積および研磨工程の実際の組み合わせは、所望の用途に応じて選択可能である。メッキおよび平坦化ステップを交互に行うこと、あるいは、メッキと平坦化を同時に実行することで、表面上の凹凸のばらつきが除かれ過度に堆積した材料が除去される。
図2Aは、本発明の一実施形態による、例示的な非接触電気メッキ装置200の例示である。本明細書において、非接触電気メッキ装置とは、電解接触を利用する装置である。この実施形態において、近接ヘッド102は、メニスカス116を形成できるように、アーム103によってウェーハ104と近接した関係で支持される。この例示において、シード層106は、メニスカス116に対して露出され、上述したように、ウェーハ104は、支持部130上に保持される。近接ヘッド102は、正電源122に接続することで、陽極として機能するように帯電される。追加として、第二の近接ヘッド102’は、アーム103によって支持され、近接ヘッド120によるメッキを可能にする促進部として機能する一方、ウェーハ104の表面から材料を除去しない。アーム103は、近接ヘッド102を保持するアームの延長部分にすること、あるいは別個のアームにすることが可能である。この代替実施形態において、第二の近接ヘッド102’は、負バイアス電源124によって陰極として帯電される。メニスカス116’は、第二の近接ヘッド102’とシード層106との間に画定される。メニスカス116’によって可能となる促進作用は、メニスカス116’自体を定める化学物質の結果である。メニスカス116’の例示的な化学特性については、下に記載する。
図2Bは、堆積層108の金属メッキのために非接触電気メッキ装置200によって使用される例示的な電解反応の例示である。以前に説明したように、近接ヘッド102の下において、メニスカス116は、正バイアス電源122を介して陽極によって帯電された電解メッキ化学物質を含んでいる。
メニスカス116は、図2Cに図示したように、複数の投入部112aを介して供給されたIPA蒸気と、複数の投入部112bを介して供給された電解質110メッキ化学物質とを含む。例示的な実施形態において、近接ヘッド102の下の複数の投入部112bは、電解液を提供し、これにより、ウェーハ104の表面での反応は、近接ヘッド102が正バイアス電源122で帯電されている時、Cu+2+2e-→Cuとなる。これはレドックス反応であるため、ウェーハ104から離れる方向の反応は、消耗Cu電極が使用される場合、Cu→Cu+2+2e-となり、あるいは、非消耗電極が使用される場合、2H2O→O2+2e-となる。
同様に、対極の役割を果たす第二の近接ヘッド102’は、負バイアス電源124によって帯電される。第二の近接ヘッド102’の下に形成された第二のメニスカス116’は、電解化学物質を含んでいる。第二のメニスカス116’は、複数の投入部112aを介して供給されたIPA蒸気と、複数の投入部112b’を介して供給された電解質110’とを含む。複数の投入部112b’は、第二の近接ヘッド102’において電解液を提供し、これにより、ウェーハ104表面での反応は、MeX[錯体]→MeX+1[錯体]+e-の形となる。この場合、Meは、Cu等の金属イオンにすることが可能であり、xは2となる。錯化剤は、エチレンジアミンまたはアンモニア(NH3)にすることができる。ウェーハ104の表面から離れる方向の反応は、その逆にすることが可能であり、例えば、→MeX+1[錯体]+e-→MeX[錯体]となる。その他の化学反応も、同様の機能を提供する場合があり、こうした化学反応は、対極の化学反応がCu→Cu+2+2e-の電位より低い電位となり、これにより、対極でのCuの溶解が抑制されるように選択される。加えて、第二の近接ヘッド102’の下の電解質110’は、エチレングリコール等の他の添加物により調整し、Cu溶解の抑制を支援できる。電気的接続136は、近接ヘッド102と第二の近接ヘッド102’との間で、シード層106を介して確立される。この電気的接続136を介して、電解質110と電解質110’とが接続され、レドックス対が完成し、近接ヘッド102によるメッキが可能となる。第二の近接ヘッド102’が陰極(即ち、負バイアス電源)とのリンクを提供し、したがって、ウェーハ104との物理的接触が必要なくなる点に留意することが重要である。近接ヘッド102および第二の近接ヘッド102’の組み合わせは、ウェーハ104との非接触接続を定め、より効率的で均一な所望の金属材料のメッキを提供する。
別の実施形態において、渦電流センサ114は、近接ヘッド102に統合される。渦電流センサ114は、金属層の存在および厚さを判定し、特定のプロセスが完了する時期を決定するために使用される。一実施形態において、堆積層108の厚さは、堆積プロセス中に渦電流センサ114によって検知できる。このようにして、制御された形での金属材料の付与が達成できる。図2Dは、堆積層108がウェーハ104の表面上に付与される際の進展を示しており、ここで、第二の近接ヘッド102’は、堆積層108の上に存在している。
図3は、本発明の一実施形態による、電気メッキおよび平坦化装置300を例示している。近接ヘッド102は、上述の形で動作する。第二のヘッド102’は、上述のようにメッキ工程の電気的経路を提供する。追加として、この実施形態において、第二のヘッド102’は、研磨パッド150を備えている。研磨パッド150は、堆積層108の水平化を提供し、平坦層108’を発生させる。研磨パッドの存在は、第二のヘッド102’の電気的接続136を阻害しない。水平化プロセスを支援するために複数の投入部112aおよび112b’を介して、非研磨剤反応化学物質を送給してもよい。平坦層108’は、近接ヘッド102下の堆積プロセスと同時に、第二の近接ヘッド102’の下で達成できる。
別の実施形態において、平坦化は、第一の近接ヘッド102および第二の近接ヘッド102’から独立して動作する第三のヘッドの下で達成される。IPAによるメニスカスの形成および閉じ込めを介して送給される流体は、ヘッドに統合された研磨パッドと協調して平坦化を促進する、非研磨剤化学物質にすることができる。
別の実施形態において、研磨パッド150を備えた第二の近接ヘッド102’は、堆積層108の表面凹凸からの後方散乱パラメータを検知することで平坦化の制御を提供するスキャタロメータシステム156を備える。
図4は、本発明の一実施形態による、電気メッキおよび平坦化装置400の動作の例示的な方法を提供するフローチャート図である。上の図1ないし3で説明したような電気メッキ装置の場合、操作者は、シード層を有するウェーハを提供する必要がある402。代替実施形態において、ウェーハは、事前に形成されたシード層を有していなくてもよい。ウェーハは、多数の方法でウェーハ支持部へ輸送してよい。ウェーハの輸送は、機械、真空、静電気、またはウェーハを保持する他の方法によって支援された手動または自動ロボット動作を含み得る。ウェーハを支持部上に置いた後、操作者は、堆積を希望する材料を選択する必要がある404。次に、近接ヘッドを、所望の堆積領域上方に配置する406。近接ヘッドの配置は、自動化ルーチンによって事前に定め、容易にしてもよい。電圧バイアスは、ウェーハおよびアームの移動中、あるいは、上記の複数の投入部を介して流体を提供する時を含め、堆積前の任意の時点で、堆積に関与する近接ヘッドに印加してよい408。バイアス電圧を近接ヘッドに印加した後、選択した流体投入部および真空排出部を、近接ヘッド下で利用し410、材料を堆積させる412。
堆積層のin−situ測定414により、所望の厚さが達成された状態を確保する416。近接ヘッドは、in−situ測定システムから提供されるフィードバックを介して所望の厚さが提供されるまで現行の位置にとどまる414。一実施形態において、測定システムは、上記の渦電流センサシステムの一つにしてよい。当然ながら、他の厚さ測定手法を使用してもよい。所望の堆積厚が達成された後、堆積に関与する近接ヘッドは、流体の送給および除去を中断する420。システムは、その後、次のウェーハ用にセットアップされる422。一実施形態において、近接ヘッドは、ウェーハの平面から除去されるが、他の実施形態では、ヘッドがウェーハ上方にとどまった状態でウェーハ自体を輸送してもよい。ウェーハが除去された後、別のウェーハを次の堆積のために支持部上に配置してよい。
システムが上の図1Dおよび図3において説明したような平坦化構成要素を備える場合、堆積材料は、所望の区域での均一な堆積を支援するために水平化される。in−situ測定手法を使用して、堆積層が平坦化された状態を確保してもよい424。十分な平坦性が達成された時、流体送給および除去システムを中断させることが可能であり420、システムは、次のウェーハ用にセットアップできる422。一実施形態において、近接ヘッドは、ウェーハの平面から除去されるが、他の実施形態では、ヘッドがウェーハ上方にとどまった状態でウェーハ自体を輸送してもよい。ウェーハが除去された後、別のウェーハを次の堆積および平坦化のために支持部上に配置してよい。
以上、本発明につきいくつかの好適な実施形態の観点から説明してきたが、上の明細書を読み且つ図面を検討することで当業者がその様々な変更、追加、置換、および等価物を認識し得ることは理解されよう。例えば、本明細書で説明した電気メッキシステムは、例えば、200mm、300mmウェーハ、フラットパネル、その他といった、任意の形状およびサイズの基板で利用してよい。したがって、本発明は、本発明の本来の趣旨および範囲に含まれるこうした全ての変更、追加、置換、および等価物を含むものである。

Claims (39)

  1. 陰極として荷電可能なウェーハの表面を電気メッキする電気メッキ装置であって、
    陽極として帯電させることが可能な近接ヘッドであり、前記近接ヘッドは複数の投入部と、複数の排出部とを有し、前記近接ヘッドが前記ウェーハの前記表面近くに配置されるとき、前記複数の投入部のそれぞれは前記ウェーハの前記表面に流体を送給可能であり、かつ前記複数の排出部のそれぞれは前記ウェーハの前記表面から前記流体を除去可能であり、前記ウェーハおよび前記近接ヘッドが帯電されるとき、前記ウェーハの前記表面での前記流体の前記送給および前記除去により、局所金属メッキが可能となる近接ヘッド、を備える電気メッキ装置。
  2. 請求項1記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記ウェーハは、負バイアス電源への接触を介して、前記陰極として帯電される、電気メッキ装置。
  3. 請求項2記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記接触は、前記ウェーハのエッジ除外領域との間で形成される、電気メッキ装置。
  4. 請求項1記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記近接ヘッドは、正バイアス電源との接触を介して、前記陽極として帯電される、電気メッキ装置。
  5. 請求項1記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記近接ヘッド上の前記複数の投入部のそれぞれは、円形導管、環状リング、および個別導管のいずれかとして構成される、電気メッキ装置。
  6. 請求項1記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記流体は、一種類以上の流体によって定められ、前記流体は、イソプロピルアルコール(IPA)と、電解液と、金属メッキを可能にするメッキ化学物質と、非研磨剤反応化学物質とを含むグループから選択される、電気メッキ装置。
  7. 請求項6記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記メッキ化学物質は、銅材料と、ニッケル材料と、タリウム材料と、タンタル材料と、チタン材料と、タングステン材料と、コバルト材料と、合金材料と、複合金属材料とのいずれかを含む金属を堆積させる水溶液によって定められる、電気メッキ装置。
  8. 請求項1記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記近接ヘッド上の前記複数の排出部のそれぞれは、円形導管、環状リング、および個別導管のいずれかとして構成される、電気メッキ装置。
  9. 請求項1記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記局所金属メッキは、前記近接ヘッドの下の領域内に前記流体の体積を制限し、前記領域は、前記ウェーハ表面全体より小さい、電気メッキ装置。
  10. 請求項1記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    渦電流センサは、前記局所金属メッキをモニタ可能である、電気メッキ装置。
  11. 請求項1記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    研磨パッドは、前記近接ヘッド下の厚さのばらつきの水平化を支援する、電気メッキ装置。
  12. 請求項11記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記複数の投入部によって供給される前記非研磨剤反応化学物質は、前記研磨パッドに添加される、電気メッキ装置。
  13. ウェーハの表面を電気メッキする電気メッキ装置であって、
    前記ウェーハの前記表面上に配置するように構成された第一の近接ヘッドであり、前記ウェーハの前記表面上に金属層を堆積させるための陽極として帯電された第一の流体が、前記近接ヘッドと前記ウェーハの前記表面との間において生成可能である第一の近接ヘッドと、
    前記ウェーハの前記表面上に配置するように構成された第二の近接ヘッドであり、前記ウェーハの前記表面上における非消耗化学反応を可能にするための陰極として帯電された第二の流体が、前記近接ヘッドと前記ウェーハの前記表面との間において生成可能であり、電気接続は、前記ウェーハの前記表面上に前記金属層を堆積させるときに、前記第一の流体と前記第二の流体との間で構成される第二の近接ヘッドと、備える電気メッキ装置。
  14. 請求項13記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記第一の流体は、正バイアス電源との電気的接触によって前記陽極として帯電された前記第一の流体の電解特性により、前記基板との電気的結合を提供する、電気メッキ装置。
  15. 請求項13記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記第二の流体は、負バイアス電源との電気的接触によって前記陰極として帯電された前記第二の流体の電解特性により、前記基板との電気的結合を提供する、電気メッキ装置。
  16. 請求項13記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記第二の近接ヘッドの下方において、非消耗化学反応は、相殺反応を生成することで、前記金属層の溶解を防止する、電気メッキ装置。
  17. 請求項16記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記非消耗化学反応は、酸化プロセスの減少によって生成される相殺反応である、電気メッキ装置。
  18. 請求項13記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記第一の近接ヘッドおよび前記第二の近接ヘッドの前記複数の投入部のそれぞれは、円形導管、環状リング、および個別導管のいずれかとして構成される、電気メッキ装置。
  19. 請求項13記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記第一の近接ヘッドおよび前記第二の近接ヘッドの前記複数の排出部のそれぞれは、円形導管、環状リング、および個別導管のいずれかとして構成される、電気メッキ装置。
  20. 請求項13記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記第一の近接ヘッドの下の前記流体は、一種類以上の流体によって定められ、前記流体は、イソプロピルアルコール(IPA)と、電解液と、金属メッキを可能にするメッキ化学物質とを含むグループから選択される、電気メッキ装置。
  21. 請求項20記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記メッキ化学物質は、銅材料と、ニッケル材料と、タリウム材料と、タンタル材料と、チタン材料と、タングステン材料と、コバルト材料と、合金材料と、複合金属材料とのいずれかを含む金属を堆積させる水溶液によって定められる、電気メッキ装置。
  22. 請求項13記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記第二の近接ヘッドの下の前記流体は、一種類以上の流体によって定められ、前記流体は、イソプロピルアルコール(IPA)と、電解液と、水とを含むグループから選択される、電気メッキ装置。
  23. 請求項13記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記局所金属メッキは、前記第一の近接ヘッドの下の領域内に前記流体の体積を制限し、前記領域は、前記ウェーハ表面全体より小さい、電気メッキ装置。
  24. 請求項13記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    渦電流センサは、前記第一の近接ヘッドの下の前記局所金属メッキをモニタ可能である、電気メッキ装置。
  25. ウェーハの表面を電気メッキする電気メッキ装置であって、
    前記ウェーハの前記表面上に配置するように構成された第一の近接ヘッドであり、前記ウェーハの前記表面上に金属層を堆積させるための陽極として帯電された第一の流体が、前記近接ヘッドと前記ウェーハの前記表面との間において生成可能な第一の近接ヘッドと、
    前記ウェーハの前記表面上で、物理的に接触して配置するように構成された第二の近接ヘッドであり、前記第二の近接ヘッドは、前記金属層の少なくとも一部の除去を可能にするためにパッドを介して物理的に接触し、前記ウェーハの前記表面上での非消耗化学反応を可能にするための陰極として帯電された第二の流体が、前記近接ヘッドと前記ウェーハの前記表面との間に生成可能であり、電気接続は、前記ウェーハの前記表面上に前記金属層を堆積させるときに、前記第一の流体と前記第二の流体との間で構成される第二の近接ヘッドと、を備える電気メッキ装置。
  26. 請求項25記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記第一の流体は、正バイアス電源との電気的接触によって前記陽極として帯電された前記第一の流体の電解特性により、前記基板との電気的結合を提供する、電気メッキ装置。
  27. 請求項25記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記第二の流体は、負バイアス電源との電気的接触によって前記陰極として帯電された前記第二の流体の電解特性により、前記基板との電気的結合を提供する、電気メッキ装置。
  28. 請求項25記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記第二の近接ヘッドの下方において、前記非消耗化学反応は、相殺反応を生成することで、前記金属層の溶解を防止する、電気メッキ装置。
  29. 請求項28記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記非消耗化学反応は、酸化プロセスの減少によって生成される相殺反応である、電気メッキ装置。
  30. 請求項25記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記第一の近接ヘッドおよび前記第二の近接ヘッドの前記複数の投入部のそれぞれは、円形導管、環状リング、および個別導管のいずれかとして構成される、電気メッキ装置。
  31. 請求項25記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記第一の近接ヘッドおよび前記第二の近接ヘッドの前記複数の排出部のそれぞれは、円形導管、環状リング、および個別導管のいずれかとして構成される、電気メッキ装置。
  32. 請求項25記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記第一の近接ヘッドの下の前記流体は、一種類以上の流体によって定められ、前記流体は、イソプロピルアルコール(IPA)と、電解液と、金属メッキを可能にするメッキ化学物質とを含むグループから選択される、電気メッキ装置。
  33. 請求項32記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記メッキ化学物質は、銅材料と、ニッケル材料と、タリウム材料と、タンタル材料と、チタン材料と、タングステン材料と、コバルト材料と、合金材料と、複合金属材料とのいずれかを含む金属を堆積させる水溶液によって定められる、電気メッキ装置。
  34. 請求項25記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記第二の近接ヘッドの下の前記流体は、一種類以上の流体によって定められ、前記流体は、イソプロピルアルコール(IPA)と、電解液と、水と、非研磨剤反応化学物質とを含むグループから選択される、電気メッキ装置。
  35. 請求項25記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記局所金属メッキは、前記第一の近接ヘッドの下の領域内に前記流体の体積を制限し、前記領域は、前記ウェーハ表面全体より小さい、電気メッキ装置。
  36. 請求項25記載のウェーハの表面を電気メッキする電気メッキ装置であって、さらに、
    前記第一の近接ヘッドの下の前記局所金属メッキのモニタリングを可能にするために渦電流センサを備える、電気メッキ装置。
  37. 請求項25記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記パッドは、前記第二の近接ヘッド下の前記金属層の厚さのばらつきを水平化する研磨パッドを備える、電気メッキ装置。
  38. 請求項37記載のウェーハの表面を電気メッキする電気メッキ装置であって、
    前記第二の近接ヘッドの前記複数の投入部によって供給される非研磨剤反応化学物質は、前記研磨パッドに添加される、電気メッキ装置。
  39. 請求項25記載のウェーハの表面を電気メッキする電気メッキ装置であって、さらに、
    前記第二の近接ヘッド下における前記金属層の厚さのばらつきの前記水平化を制御するためにスキャタロメータシステムを備える、電気メッキ装置。
JP2010066565A 2003-06-27 2010-03-23 半導体ウェーハの薄膜を堆積および平坦化する装置 Pending JP2010216015A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/607,611 US7153400B2 (en) 2002-09-30 2003-06-27 Apparatus and method for depositing and planarizing thin films of semiconductor wafers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2006517140A Division JP4828417B2 (ja) 2003-06-27 2004-05-24 電気メッキ装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010071654A Division JP2010236090A (ja) 2003-06-27 2010-03-26 半導体ウェーハの薄膜を堆積および平坦化する装置

Publications (1)

Publication Number Publication Date
JP2010216015A true JP2010216015A (ja) 2010-09-30

Family

ID=34062291

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2006517140A Expired - Fee Related JP4828417B2 (ja) 2003-06-27 2004-05-24 電気メッキ装置
JP2010066565A Pending JP2010216015A (ja) 2003-06-27 2010-03-23 半導体ウェーハの薄膜を堆積および平坦化する装置
JP2010071654A Pending JP2010236090A (ja) 2003-06-27 2010-03-26 半導体ウェーハの薄膜を堆積および平坦化する装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2006517140A Expired - Fee Related JP4828417B2 (ja) 2003-06-27 2004-05-24 電気メッキ装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010071654A Pending JP2010236090A (ja) 2003-06-27 2010-03-26 半導体ウェーハの薄膜を堆積および平坦化する装置

Country Status (8)

Country Link
US (3) US7153400B2 (ja)
EP (1) EP1639156A1 (ja)
JP (3) JP4828417B2 (ja)
KR (1) KR101136773B1 (ja)
CN (2) CN1842618B (ja)
MY (1) MY136159A (ja)
TW (1) TWI248132B (ja)
WO (1) WO2005005692A1 (ja)

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7578923B2 (en) * 1998-12-01 2009-08-25 Novellus Systems, Inc. Electropolishing system and process
US6497800B1 (en) 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US7427337B2 (en) * 1998-12-01 2008-09-23 Novellus Systems, Inc. System for electropolishing and electrochemical mechanical polishing
US6852208B2 (en) 2000-03-17 2005-02-08 Nutool, Inc. Method and apparatus for full surface electrotreating of a wafer
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US7153195B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
TWI236944B (en) * 2001-12-17 2005-08-01 Tokyo Electron Ltd Film removal method and apparatus, and substrate processing system
US20040031167A1 (en) 2002-06-13 2004-02-19 Stein Nathan D. Single wafer method and apparatus for drying semiconductor substrates using an inert gas air-knife
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7293571B2 (en) 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7632376B1 (en) 2002-09-30 2009-12-15 Lam Research Corporation Method and apparatus for atomic layer deposition (ALD) in a proximity system
US6988326B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
US7614411B2 (en) 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US7153400B2 (en) 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7389783B2 (en) * 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US7329321B2 (en) * 2002-09-30 2008-02-12 Lam Research Corporation Enhanced wafer cleaning method
US7367345B1 (en) 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US7045018B2 (en) * 2002-09-30 2006-05-16 Lam Research Corporation Substrate brush scrubbing and proximity cleaning-drying sequence using compatible chemistries, and method, apparatus, and system for implementing the same
US7198055B2 (en) * 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
US8236382B2 (en) * 2002-09-30 2012-08-07 Lam Research Corporation Proximity substrate preparation sequence, and method, apparatus, and system for implementing the same
US7997288B2 (en) * 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US20070048447A1 (en) * 2005-08-31 2007-03-01 Alan Lee System and method for forming patterned copper lines through electroless copper plating
US7592259B2 (en) 2006-12-18 2009-09-22 Lam Research Corporation Methods and systems for barrier layer surface passivation
US7704367B2 (en) * 2004-06-28 2010-04-27 Lam Research Corporation Method and apparatus for plating semiconductor wafers
US20050173260A1 (en) * 2003-03-18 2005-08-11 Basol Bulent M. System for electrochemical mechanical polishing
US7675000B2 (en) * 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US7648622B2 (en) * 2004-02-27 2010-01-19 Novellus Systems, Inc. System and method for electrochemical mechanical polishing
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US7645364B2 (en) * 2004-06-30 2010-01-12 Lam Research Corporation Apparatus and method for plating semiconductor wafers
US7811424B1 (en) * 2004-06-30 2010-10-12 Lam Research Corporation Reducing mechanical resonance and improved distribution of fluids in small volume processing of semiconductor materials
US7566390B2 (en) * 2004-12-15 2009-07-28 Lam Research Corporation Wafer support apparatus for electroplating process and method for using the same
US7368042B2 (en) * 2004-12-30 2008-05-06 United Microelectronics Corp. Electroplating apparatus including a real-time feedback system
US7416648B2 (en) * 2005-05-12 2008-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor system for monitoring condition of electrode for electrochemical process tools
US20090266707A1 (en) * 2005-08-26 2009-10-29 Novellus Systems, Inc. Pad-assisted electropolishing
US20070082299A1 (en) * 2005-10-11 2007-04-12 Lam Research Corp. Methods and apparatus for fabricating conductive features on glass substrates used in liquid crystal displays
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US8813764B2 (en) 2009-05-29 2014-08-26 Lam Research Corporation Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer
US7811423B2 (en) * 2006-10-06 2010-10-12 Lam Research Corporation Proximity processing using controlled batch volume with an integrated proximity head
JP4755573B2 (ja) * 2006-11-30 2011-08-24 東京応化工業株式会社 処理装置および処理方法、ならびに表面処理治具
US7749893B2 (en) * 2006-12-18 2010-07-06 Lam Research Corporation Methods and systems for low interfacial oxide contact between barrier and copper metallization
US8146902B2 (en) 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US20100015731A1 (en) * 2007-02-20 2010-01-21 Lam Research Corporation Method of low-k dielectric film repair
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US7975708B2 (en) * 2007-03-30 2011-07-12 Lam Research Corporation Proximity head with angled vacuum conduit system, apparatus and method
US8058164B2 (en) * 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
US8141566B2 (en) * 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8323460B2 (en) * 2007-06-20 2012-12-04 Lam Research Corporation Methods and systems for three-dimensional integrated circuit through hole via gapfill and overburden removal
US8673769B2 (en) * 2007-06-20 2014-03-18 Lam Research Corporation Methods and apparatuses for three dimensional integrated circuits
JP4971078B2 (ja) * 2007-08-30 2012-07-11 東京応化工業株式会社 表面処理装置
CN100582314C (zh) * 2007-09-10 2010-01-20 厦门致力金刚石工具有限公司 抛镀机
US7967960B2 (en) * 2007-11-06 2011-06-28 United Microelectronics Corp. Fluid-confining apparatus
US8551313B2 (en) 2007-11-15 2013-10-08 International Business Machines Corporation Method and apparatus for electroplating on soi and bulk semiconductor wafers
US20100200409A1 (en) * 2009-02-11 2010-08-12 United Solar Ovonic Llc Solution deposition and method with substrate making
US20110048517A1 (en) * 2009-06-09 2011-03-03 International Business Machines Corporation Multijunction Photovoltaic Cell Fabrication
US8802477B2 (en) * 2009-06-09 2014-08-12 International Business Machines Corporation Heterojunction III-V photovoltaic cell fabrication
US20100310775A1 (en) * 2009-06-09 2010-12-09 International Business Machines Corporation Spalling for a Semiconductor Substrate
US8633097B2 (en) 2009-06-09 2014-01-21 International Business Machines Corporation Single-junction photovoltaic cell
US8703521B2 (en) * 2009-06-09 2014-04-22 International Business Machines Corporation Multijunction photovoltaic cell fabrication
ITMI20100407A1 (it) * 2010-03-12 2011-09-13 Rise Technology S R L Cella foto-voltaica con regioni di semiconduttore poroso per ancorare terminali di contatto
DE102010033256A1 (de) * 2010-07-29 2012-02-02 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Methode zur Erzeugung gezielter Strömungs- und Stromdichtemuster bei der chemischen und elektrolytischen Oberflächenbehandlung
US8557099B2 (en) * 2010-10-25 2013-10-15 Ppg Industries Ohio, Inc. Electrocurtain coating process for coating solar mirrors
KR101283009B1 (ko) * 2011-05-26 2013-07-05 주승기 전기 도금장치 및 전기 도금방법
US9476139B2 (en) 2012-03-30 2016-10-25 Novellus Systems, Inc. Cleaning electroplating substrate holders using reverse current deplating
TWI588900B (zh) * 2012-04-25 2017-06-21 Markus Hacksteiner Device and method for wafer metallization
US10416092B2 (en) 2013-02-15 2019-09-17 Lam Research Corporation Remote detection of plating on wafer holding apparatus
US9746427B2 (en) * 2013-02-15 2017-08-29 Novellus Systems, Inc. Detection of plating on wafer holding apparatus
US9589853B2 (en) 2014-02-28 2017-03-07 Lam Research Corporation Method of planarizing an upper surface of a semiconductor substrate in a plasma etch chamber
BR112017009761B1 (pt) * 2014-11-14 2022-04-19 Ykk Corporation Método para tratamento eletrolítico de superfície de parte de acessório de vestuário
TWI560323B (en) * 2015-02-13 2016-12-01 Inotera Memories Inc Electrochemical plating device and anode assembly thereof
US9932666B2 (en) * 2015-03-30 2018-04-03 Siva Power, Inc. Fluid-assisted thermal management of evaporation sources
US10184189B2 (en) 2016-07-18 2019-01-22 ECSI Fibrotools, Inc. Apparatus and method of contact electroplating of isolated structures
US11214884B2 (en) * 2017-07-11 2022-01-04 University Of South Florida Electrochemical three-dimensional printing and soldering
CN108054108B (zh) * 2017-12-19 2019-10-25 哈尔滨工业大学 一种基于快速局域电沉积的引线键合方法
CN110528039B (zh) * 2019-07-31 2020-11-17 浙江大学 基于微弱离子电流检测监控的微纳结构局域电沉积装置
WO2021177035A1 (ja) * 2020-03-02 2021-09-10 東京エレクトロン株式会社 めっき処理装置
CN112899743B (zh) * 2021-01-19 2021-09-21 鑫巨(深圳)半导体科技有限公司 一种电镀装置及电镀方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999065071A1 (en) * 1998-06-11 1999-12-16 Speedfam-Ipec Corporation Method and apparatus for non-contact metal plating of semiconductor wafers using a bipolar electrode assembly
JP2003133316A (ja) * 2001-08-13 2003-05-09 Ebara Corp 半導体装置及びその製造方法
JP2003516471A (ja) * 1999-10-20 2003-05-13 アトーテヒ ドイッチュラント ゲゼルシャフト ミット ベシュレンクテル ハフツング 電気的に絶縁している箔材料の表面上で電気的に互いに絶縁された導電性構造を電解処理するための方法及び装置並びに上記方法の使用法
JP2003520291A (ja) * 1999-10-20 2003-07-02 アトーテヒ ドイッチュラント ゲゼルシャフト ミット ベシュレンクテル ハフツング 互いに隔離されたシートや箔の材料片の導電性表面の電解処理のための方法と装置並びに前記方法の適用法
JP2004149926A (ja) * 2003-11-20 2004-05-27 Matsushita Electric Ind Co Ltd 埋め込み配線の形成方法

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5126642A (ja) * 1974-08-29 1976-03-05 Inoue Japax Res Metsukihoho
JPS5127822A (ja) * 1974-09-02 1976-03-09 Inoue Japax Res Metsukisochi
US3953265A (en) * 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
US4086870A (en) * 1977-06-30 1978-05-02 International Business Machines Corporation Novel resist spinning head
JPS579894A (en) * 1980-06-18 1982-01-19 Osaki Kinzoku:Kk Partial plating method
US4367123A (en) * 1980-07-09 1983-01-04 Olin Corporation Precision spot plating process and apparatus
JPS5852034B2 (ja) 1981-08-26 1983-11-19 株式会社ソニツクス 部分メツキ方法及びその装置
US4444492A (en) * 1982-05-15 1984-04-24 General Signal Corporation Apparatus for projecting a series of images onto dies of a semiconductor wafer
US4838289A (en) * 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
JPS62150828A (ja) 1985-12-25 1987-07-04 Mitsubishi Electric Corp ウエハ乾燥装置
JPS62297494A (ja) * 1986-06-17 1987-12-24 Electroplating Eng Of Japan Co 半導体ウェハー用メッキ装置
JPH02197593A (ja) * 1989-01-26 1990-08-06 Nec Corp スポットめっきプローブ
JPH0712035B2 (ja) 1989-04-20 1995-02-08 三菱電機株式会社 噴流式液処理装置
JPH02309638A (ja) 1989-05-24 1990-12-25 Fujitsu Ltd ウエハーエッチング装置
JPH0628223Y2 (ja) * 1989-06-14 1994-08-03 大日本スクリーン製造株式会社 回転塗布装置
US5271774A (en) * 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
US5102494A (en) * 1990-07-13 1992-04-07 Mobil Solar Energy Corporation Wet-tip die for EFG cyrstal growth apparatus
US5294257A (en) * 1991-10-28 1994-03-15 International Business Machines Corporation Edge masking spin tool
US5343234A (en) * 1991-11-15 1994-08-30 Kuehnle Manfred R Digital color proofing system and method for offset and gravure printing
JP2877216B2 (ja) * 1992-10-02 1999-03-31 東京エレクトロン株式会社 洗浄装置
JP3308333B2 (ja) * 1993-03-30 2002-07-29 三菱電機株式会社 電解メッキ装置,及び電解メッキ処理方法
US5472502A (en) * 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5807522A (en) * 1994-06-17 1998-09-15 The Board Of Trustees Of The Leland Stanford Junior University Methods for fabricating microarrays of biological samples
CN1130175C (zh) * 1994-06-30 2003-12-10 普罗克特和甘保尔公司 具有表面能量梯度的絮网、絮网制造方法和吸湿用品
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
JP3247270B2 (ja) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
US5558111A (en) * 1995-02-02 1996-09-24 International Business Machines Corporation Apparatus and method for carrier backing film reconditioning
US5601655A (en) * 1995-02-14 1997-02-11 Bok; Hendrik F. Method of cleaning substrates
JPH08277486A (ja) 1995-04-04 1996-10-22 Dainippon Printing Co Ltd リードフレームのめっき装置
TW386235B (en) * 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
US5660642A (en) * 1995-05-26 1997-08-26 The Regents Of The University Of California Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor
US5975098A (en) * 1995-12-21 1999-11-02 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of cleaning substrate
DE19622015A1 (de) * 1996-05-31 1997-12-04 Siemens Ag Verfahren zum Ätzen von Zerstörungszonen an einem Halbleitersubstratrand sowie Ätzanlage
US5985031A (en) * 1996-06-21 1999-11-16 Micron Technology, Inc. Spin coating spindle and chuck assembly
TW357406B (en) * 1996-10-07 1999-05-01 Tokyo Electron Ltd Method and apparatus for cleaning and drying a substrate
US5830334A (en) * 1996-11-07 1998-11-03 Kobayashi; Hideyuki Nozzle for fast plating with plating solution jetting and suctioning functions
JPH1133506A (ja) * 1997-07-24 1999-02-09 Tadahiro Omi 流体処理装置及び洗浄処理システム
JPH10163138A (ja) * 1996-11-29 1998-06-19 Fujitsu Ltd 半導体装置の製造方法および研磨装置
JPH10232498A (ja) * 1997-02-19 1998-09-02 Nec Kyushu Ltd 現像装置
JPH1131672A (ja) 1997-07-10 1999-02-02 Hitachi Ltd 基板処理方法および基板処理装置
US6103636A (en) * 1997-08-20 2000-08-15 Micron Technology, Inc. Method and apparatus for selective removal of material from wafer alignment marks
US6398975B1 (en) * 1997-09-24 2002-06-04 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for localized liquid treatment of the surface of a substrate
US6491764B2 (en) * 1997-09-24 2002-12-10 Interuniversitair Microelektronics Centrum (Imec) Method and apparatus for removing a liquid from a surface of a rotating substrate
WO1999016109A1 (en) 1997-09-24 1999-04-01 Interuniversitair Micro-Elektronica Centrum Vereniging Zonder Winstbejag Method and apparatus for removing a liquid from a surface
EP0905746A1 (en) 1997-09-24 1999-03-31 Interuniversitair Micro-Elektronica Centrum Vzw Method of removing a liquid from a surface of a rotating substrate
DE69832567T2 (de) 1997-09-24 2007-01-18 Interuniversitair Micro-Electronica Centrum Vzw Verfahren und Vorrichtung zum Entfernen von einer Flüssigkeit von der Oberfläche eines rotierenden Substrats
CA2320278C (en) * 1998-02-12 2006-01-03 Acm Research, Inc. Plating apparatus and method
AU2747999A (en) 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
US6108932A (en) * 1998-05-05 2000-08-29 Steag Microtech Gmbh Method and apparatus for thermocapillary drying
JPH11350169A (ja) 1998-06-10 1999-12-21 Chemitoronics Co ウエットエッチング装置およびウエットエッチングの方法
US6689323B2 (en) * 1998-10-30 2004-02-10 Agilent Technologies Method and apparatus for liquid transfer
US6413388B1 (en) * 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US6092937A (en) * 1999-01-08 2000-07-25 Fastar, Ltd. Linear developer
JP2000232078A (ja) * 1999-02-10 2000-08-22 Toshiba Corp メッキ方法及びメッキ装置
US6066030A (en) * 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US20020121290A1 (en) * 1999-08-25 2002-09-05 Applied Materials, Inc. Method and apparatus for cleaning/drying hydrophobic wafers
JP3635217B2 (ja) * 1999-10-05 2005-04-06 東京エレクトロン株式会社 液処理装置及びその方法
WO2001027357A1 (en) * 1999-10-12 2001-04-19 Semitool, Inc. Method and apparatus for executing plural processes on a microelectronic workpiece at a single processing station
US6341998B1 (en) * 1999-11-04 2002-01-29 Vlsi Technology, Inc. Integrated circuit (IC) plating deposition system and method
US6214513B1 (en) * 1999-11-24 2001-04-10 Xerox Corporation Slot coating under an electric field
US6433541B1 (en) * 1999-12-23 2002-08-13 Kla-Tencor Corporation In-situ metalization monitoring using eddy current measurements during the process for removing the film
US20030091754A1 (en) * 2000-02-11 2003-05-15 Thami Chihani Method for treating cellulosic fibres
US6474786B2 (en) * 2000-02-24 2002-11-05 The Board Of Trustees Of The Leland Stanford Junior University Micromachined two-dimensional array droplet ejectors
US6495005B1 (en) * 2000-05-01 2002-12-17 International Business Machines Corporation Electroplating apparatus
WO2001087491A1 (en) * 2000-05-16 2001-11-22 Regents Of The University Of Minnesota High mass throughput particle generation using multiple nozzle spraying
US20020023715A1 (en) * 2000-05-26 2002-02-28 Norio Kimura Substrate polishing apparatus and substrate polishing mehod
AU2001270205A1 (en) 2000-06-26 2002-01-08 Applied Materials, Inc. Method and apparatus for wafer cleaning
US6488040B1 (en) * 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US7000622B2 (en) * 2002-09-30 2006-02-21 Lam Research Corporation Methods and systems for processing a bevel edge of a substrate using a dynamic liquid meniscus
US6530823B1 (en) * 2000-08-10 2003-03-11 Nanoclean Technologies Inc Methods for cleaning surfaces substantially free of contaminants
JP2002097594A (ja) * 2000-09-20 2002-04-02 Ebara Corp 基板めっき装置及び基板めっき方法
US6555017B1 (en) 2000-10-13 2003-04-29 The Regents Of The University Of Caliofornia Surface contouring by controlled application of processing fluid using Marangoni effect
US6550988B2 (en) * 2000-10-30 2003-04-22 Dainippon Screen Mfg., Co., Ltd. Substrate processing apparatus
US6531206B2 (en) * 2001-02-07 2003-03-11 3M Innovative Properties Company Microstructured surface film assembly for liquid acquisition and transport
WO2002101799A2 (en) 2001-06-12 2002-12-19 Verteq, Inc. Stackable process chambers
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
JP2003115474A (ja) * 2001-10-03 2003-04-18 Ebara Corp 基板処理装置及び方法
JP4003441B2 (ja) 2001-11-08 2007-11-07 セイコーエプソン株式会社 表面処理装置および表面処理方法
US6799584B2 (en) * 2001-11-09 2004-10-05 Applied Materials, Inc. Condensation-based enhancement of particle removal by suction
US7093375B2 (en) * 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US7153400B2 (en) 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US6988326B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
US6954993B1 (en) * 2002-09-30 2005-10-18 Lam Research Corporation Concentric proximity processing head
US7614411B2 (en) * 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US6988327B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7198055B2 (en) * 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7293571B2 (en) * 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7252097B2 (en) * 2002-09-30 2007-08-07 Lam Research Corporation System and method for integrating in-situ metrology within a wafer process
US7513262B2 (en) * 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7069937B2 (en) * 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US7389783B2 (en) * 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
JP4589866B2 (ja) 2002-09-30 2010-12-01 ラム リサーチ コーポレーション メニスカス、真空、ipa蒸気、乾燥マニホルドを用いた基板処理システム
SG121822A1 (en) * 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1489461A1 (en) 2003-06-11 2004-12-22 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US6867844B2 (en) 2003-06-19 2005-03-15 Asml Holding N.V. Immersion photolithography system and method using microchannel nozzles
US7353560B2 (en) * 2003-12-18 2008-04-08 Lam Research Corporation Proximity brush unit apparatus and method
US7003899B1 (en) * 2004-09-30 2006-02-28 Lam Research Corporation System and method for modulating flow through multiple ports in a proximity head

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999065071A1 (en) * 1998-06-11 1999-12-16 Speedfam-Ipec Corporation Method and apparatus for non-contact metal plating of semiconductor wafers using a bipolar electrode assembly
JP2003516471A (ja) * 1999-10-20 2003-05-13 アトーテヒ ドイッチュラント ゲゼルシャフト ミット ベシュレンクテル ハフツング 電気的に絶縁している箔材料の表面上で電気的に互いに絶縁された導電性構造を電解処理するための方法及び装置並びに上記方法の使用法
JP2003520291A (ja) * 1999-10-20 2003-07-02 アトーテヒ ドイッチュラント ゲゼルシャフト ミット ベシュレンクテル ハフツング 互いに隔離されたシートや箔の材料片の導電性表面の電解処理のための方法と装置並びに前記方法の適用法
JP2003133316A (ja) * 2001-08-13 2003-05-09 Ebara Corp 半導体装置及びその製造方法
JP2004149926A (ja) * 2003-11-20 2004-05-27 Matsushita Electric Ind Co Ltd 埋め込み配線の形成方法

Also Published As

Publication number Publication date
MY136159A (en) 2008-08-29
KR101136773B1 (ko) 2012-04-19
US7947157B2 (en) 2011-05-24
US20110155563A1 (en) 2011-06-30
JP2010236090A (ja) 2010-10-21
WO2005005692A1 (en) 2005-01-20
TW200501270A (en) 2005-01-01
US7153400B2 (en) 2006-12-26
CN101880902A (zh) 2010-11-10
US20040178060A1 (en) 2004-09-16
CN1842618B (zh) 2010-08-11
JP2007521391A (ja) 2007-08-02
US20060260932A1 (en) 2006-11-23
CN1842618A (zh) 2006-10-04
EP1639156A1 (en) 2006-03-29
TWI248132B (en) 2006-01-21
KR20060063808A (ko) 2006-06-12
JP4828417B2 (ja) 2011-11-30

Similar Documents

Publication Publication Date Title
JP4828417B2 (ja) 電気メッキ装置
JP5780935B2 (ja) 半導体ウェーハをメッキする装置及び方法
US6478936B1 (en) Anode assembly for plating and planarizing a conductive layer
US6176992B1 (en) Method and apparatus for electro-chemical mechanical deposition
US7033464B2 (en) Apparatus for electrochemically depositing a material onto a workpiece surface
US6848970B2 (en) Process control in electrochemically assisted planarization
US7341649B2 (en) Apparatus for electroprocessing a workpiece surface
US20070045120A1 (en) Methods and apparatus for filling features in microfeature workpieces
US6863794B2 (en) Method and apparatus for forming metal layers
JP2004209588A (ja) 研磨装置及び研磨方法
US20040094403A1 (en) Integrated plating and planarization apparatus having a variable-diameter counterelectrode
US20050040049A1 (en) Anode assembly for plating and planarizing a conductive layer

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130108

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130403

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130408

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20131008