KR20020068779A - 반도체 제조장치의 로드포트 - Google Patents

반도체 제조장치의 로드포트 Download PDF

Info

Publication number
KR20020068779A
KR20020068779A KR1020010009045A KR20010009045A KR20020068779A KR 20020068779 A KR20020068779 A KR 20020068779A KR 1020010009045 A KR1020010009045 A KR 1020010009045A KR 20010009045 A KR20010009045 A KR 20010009045A KR 20020068779 A KR20020068779 A KR 20020068779A
Authority
KR
South Korea
Prior art keywords
cassette
seating
load port
seated
wafer
Prior art date
Application number
KR1020010009045A
Other languages
English (en)
Other versions
KR100410991B1 (ko
Inventor
정규찬
김기상
Original Assignee
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자 주식회사 filed Critical 삼성전자 주식회사
Priority to KR10-2001-0009045A priority Critical patent/KR100410991B1/ko
Priority to US10/079,262 priority patent/US6755221B2/en
Publication of KR20020068779A publication Critical patent/KR20020068779A/ko
Priority to US10/702,142 priority patent/US20040072376A1/en
Application granted granted Critical
Publication of KR100410991B1 publication Critical patent/KR100410991B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 반도체 제조장치의 로드포트에 관한 것으로, 본 발명에 따른 로드포트는 내부에 웨이퍼가 저장되되 저면에 적어도 하나 이상의 안착홈이 형성된 카세트; 내부에 카세트로부터 웨이퍼를 이송시키는 로봇이 설치된 챔버; 챔버의 외측에 장착되되 카세트가 안착되는 로드포트; 로드포트 상면에 설치되되 카세트가 로드포트 상면에 안착되면 안착홈에 끼워지도록 된 적어도 하나 이상의 안착돌기; 안착돌기에 장착되되 안착돌기가 안착홈에 끼워지면 카세트의 안착여부를 인식하게 되는 안착감지수단을 구비한 것으로, 이러한 본 발명에 따른 반도체 제조장치의 로드포트는 로드포트에 설치된 안착돌기에 안착감지센서를 일체로 설치함으로써 보다 정확한 카세트 안착유무를 감지할 수 있도록 하고, 또한 감지된 카세트의 무게에 따라 카세트 내부의 웨이퍼 저장상태를 판별할 수 있도록 함으로써 보다 신뢰성 높은 반도체 제조장치의 운용이 가능하도록 하는 효과가 있다.

Description

반도체 제조장치의 로드포트{Loadport for semiconductor processing apparatus}
본 발명은 반도체 제조장치에 관한 것으로, 더욱 상세하게는 웨이퍼 제조장치로 전달되는 웨이퍼가 안착된 카세트를 놓기 위한 반도체 제조장치의 로드포트에 관한 것이다.
일반적으로, 팩토리 인터페이스 시스템(factory interface system)과 같은 반도체 제조시스템의 로더부분은 웨이퍼 카세트가 안착되는 로드포트(wafer cassette loadport)와 카세트의 웨이퍼를 최초 전달 진입시키도록 이송 로봇이 설치된 미니챔버(mini chamber)와 미니챔버로부터 전달된 웨이퍼를 작업 대기상태에 위치시키는 로드락 챔버(load lock chamber)로 구성되어 있다.
이러한 반도체 제조시스템의 로더부분에서 종래의 로드포트는 미니챔버의 외측부분에 설치된 것으로, 웨이퍼가 저장된 카세트가 안착될 수 있도록 수평상태로 돌출 연장된 형태로 마련된다.
여기서 사용되는 카세트는 300mm 반도체 제조장치에 일반적으로 사용되는 것으로, 전면으로 도어가 설치된 FOUP(front open unified pod) 이다. 따라서 미니챔버에는 이 FOUP 카세트의 전면 도어를 열기 위한 도어 오픈 로봇이 설치되어 있다.
도 1에 도시된 바와 같이 이러한 FOUP 카세트가 안착되는 로드포트(10)는 카세트의 저면이 안착될 수 있도록 상면이 평평한 평면형상으로 형성되고, 이 상면에는 카세트의 안착여부를 인식하는 다수의 안착감지센서(30)가 장착되어 있다.
그리고 로드포트(10)에 안착된 카세트의 안정된 안착상태 유지를 위하여 로드포트의 상면에는 상향 돌출한 다수개의 안착돌기(20: kinematic coupling)가 형성되어 있고, 이 안착돌기(20)에 끼워져 그 안착위치가 유지되도록 카세트의 하면에는 다수개의 안착홈(미도시)이 형성되어 있다.
그런데 이와 같이 구성된 종래의 로드포트(10)에는 이 안착돌기(20)와 안착감시센서(30)가 별도로 서로 다른 위치에 구성되어 있기 때문에 카세트 외에 다른 물체가 로드포트(10)의 안착감지센서(30)와 접촉하게 될 경우 이를 안착감지센서(30)가 잘못 인식하여 오동작을 발생시킬 수 있다.
또한 안착돌기(20)보다 낮은 고도, 즉 안착돌기(20)의 하측에 안착감지센서(30)가 위치하고 있기 때문에 비록 카세트가 안착돌기(20)에 정확하게 안착되더라도 카세트의 하면이 안착감지센서(30)와 제대로 접촉하지 않아 안착감지센서(30) 중의 하나 내지는 모두가 이를 제대로 감지하지 못하게 되는 경우가 발생하게 된다.
이와 같은 감지불량의 경우는 카세트가 로드포트(10)에 정상적으로 안착되지 못한 것으로 판단하여 반도체 제조장치가 다음 공정단계를 진행하지 못하게 되어 그 작동 신뢰성을 떨어뜨리게 된다.
본 발명은 전술한 문제점을 해결하기 위한 것으로, 본 발명의 목적은 로드포트에 설치된 안착돌기에 안착감지센서를 함께 설치함으로써 카세트가 로드포트의 안착돌기에 안착됨과 동시에 카세트의 정상적인 안착여부를 정확하게 감지할 수 있도록 한 반도체 제조장치의 로드포트를 제공하기 위한 것이다.
전술한 목적과 관련된 본 발명의 다른 목적은 카세트가 로드포트에 안착되면 이 카세트의 무게를 안착감지센서가 감지할 수 있도록 한 반도체 제조장치의 로드포트를 제공하기 위한 것이다.
도 1은 종래의 반도체 제조장치에 마련된 로드포트를 도시한 확대 사시도이다.
도 2는 본 발명에 따른 반도체 제조장치의 웨이퍼 로더부분을 개략적으로 도시한 도면이다.
도 3은 본 발명에 따른 반도체 제조장치에 마련된 로드포트에 카세트가 안착된 상태를 도시한 도면이다.
도 4는 본 발명에 따른 반도체 제조장치에 마련된 로드포트의 확대 사시도이다.
도 5는 도 4의 Ⅰ- Ⅰ선에 따른 단면도이다.
**도면의 주요부분에 대한 부호의 설명**
100...미니챔버
110...로드락 챔버
120...이송로봇
130...카세트
132...안착홈
200...로드포트
210...안착돌기
220...안착감지센서
전술한 목적들을 달성하기 위한 본 발명에 따른 반도체 제조장치의 로드포트는 내부에 웨이퍼가 저장되되 하면에 적어도 하나 이상의 안착홈이 형성된 카세트; 내부에 상기 카세트로부터 웨이퍼를 이송시키는 로봇이 설치된 챔버; 상기 챔버의 외측에 장착되되 상기 카세트가 안착되는 로드포트; 상기 로드포트 상면에 설치되되 상기 카세트가 상기 로드포트 상면에 안착되면 상기 안착홈에 끼워지도록 된 적어도 하나 이상의 안착돌기; 상기 안착돌기에 장착되되 상기 안착돌기가 상기 카세트의 상기 안착홈에 끼워지면 상기 카세트의 안착여부를 인식하게 되는 안착감지수단을 구비한다.
그리고 바람직하게 본 발명에 따른 상기 안착감지수단은 상기 안착돌기를 관통하여 상기 안착돌기의 상단부에 노출 설치된 포토센서로 구현된다.
또한, 바람직하게 본 발명에 따른 상기 안착감지수단은 상기 안착돌기를 관통하여 상기 안착돌기의 상단부에 돌출 형성되도록 설치된 무게감지센서인 것을 특징으로 한다.
이하에서는 본 발명에 따른 하나의 바람직한 실시예를 도면을 참조하여 보다 상세히 설명하기로 한다.
도 2와 도 3에 도시된 바와 같이 본 발명에 따른 반도체 제조장치의 로드포트(200)는 내부에 웨이퍼(140)를 이송시키는 이송로봇(120)과 후술할 카세트의 도어 오픈로봇(미도시)이 설치되어 있는 미니챔버(100)의 외측부분에 설치된 것으로, 웨이퍼(140)가 저장된 FOUP 카세트(130)가 안착될 수 있도록 수평상태로 돌출 연장된 형태로 마련된다.
여기서 로드포트(200)로 카세트(130)의 이송은 작업자가 직접 카세트(130)를 이송시키거나 도시되지 않은 별도의 자동 자동반송장치(AMHS: automated material handling system)에 의하여 로드포트(200)에 카세트(130)가 이송되도록 할 수 있다.
한편, 이러한 FOUP 카세트(130)가 안착되는 로드포트(200)는 도 4와 도 5에 도시된 바와 같이 카세트(130)의 저면이 안착될 수 있도록 상면이 평평한 평면형상으로 형성되어 있고, 또한 상측 면에는 상향 돌출한 세 개의 안착돌기(210: kinematic coupling)가 구현되어 있다.
그리고 카세트(130)의 하면에는 로드포트의 각 안착돌기(210)들이 끼워질 수 있도록 되되, 이 안착돌기(210)들에 끼워짐으로써 카세트(130)의 정확한 안착과 그 안착위치를 안정되게 유지시키도록 하는 세 개의 안착홈(132)이 형성되어 있다.
한편, 로드포트(200)의 안착돌기(210)에는 로드포트(200)에 안착된카세트(130)의 안착상태를 감지하는 안착감지수단이 설치된다.
이 안착감지수단은 각각의 안착돌기(210)에 하나씩 설치된 것으로, 안착돌기(210)를 상하로 관통하여 그 상단부가 상측으로 노출되도록 설치되어 있는 다수의 안착감지센서(220)로 마련된다.
따라서 카세트(130)가 로드포트(200)에 안착됨에 따라 로드포트(200)의 안착돌기(210)가 카세트(130)의 안착홈(132)에 끼워지면 이와 동시에 안착돌기(210)와 일체로 설치된 각각의 안착감지센서(220)는 카세트(130)의 안착상태를 정확하게 감지하게 된다.
한편, 본 발명에서와 같은 로드포트(200)의 안착감지수단은 그 활용과 운용에 따라 다양한 종류의 센서를 적용할 수 있을 것이다.
그러므로 만약, 카세트(130)의 안착상태만을 감지하기 위한 것이라면, 일반적인 포토센서, 또는 온/오프 센서를 적용할 수 있을 것이고, 이와 달리 안착된 카세트(130)의 안착상태와 함께 그 무게까지 감지하도록 한다면 통상적인 압전센서와 같은 무게감지센서를 적용할 수 있을 것이다.
이러한 다양한 센서의 적용과 그 설치는 장치의 운용을 위하여 그 필요한 설비에 따라 가변적으로 변형 적용할 수 있다.
이하에서는 전술한 바와 같이 구성 된 본 발명에 따른 반도체 제조장치 로드포트의 작용상태에 대하여 설명하기로 한다.
본 발명에 따른 반도체 제조장치에서 카세트(130)는 외부의 다른 공정으로부터 웨이퍼(140)를 전달받아 작업자 또는 자동반송장치에 의하여 로드포트(200)로이송된다.
그리고 이송된 카세트(130)는 로드포트(200)에 안착되고, 그 안착상태가 정상 상태라고 판단되면, 미니챔버(100) 내부의 도어 오픈로봇이 로드포트(200)에 안착된 카세트(130)의 도어(131)를 열게되고, 도어(131)가 열리면 미니챔버(100) 내부에 설치된 웨이퍼 이송로봇(120)이 웨이퍼(140)를 카세트(130)로부터 로드락 챔버(110)로 이송시킨다.
그리고 로드락 챔버(110)로 이송된 웨이퍼(140)는 이후 공정챔버 내부로 또 다른 로봇에 의하여 이송되어 그 가공이 이루어진 후 가공 공정이 완료되면 웨이퍼(140)는 전술한 바와 같은 공정 상태의 반대 방향으로 이송되어 카세트(130)에 다시 저장된 후 다음 공정으로 진행하게 된다.
한편, 로드포트(200) 상부로 이송된 카세트(130)는 로드포트(200) 상부에 마련된 안착돌기(210)에 카세트(130)의 하면에 형성된 안착홈(132)이 정확히 끼워짐으로써 카세트(130) 안착상태가 판단되게 된다.
이때 만약 세 개의 안착감지센서(220) 중의 하나라도 카세트(130)의 안착유무를 인식하지 못하면 더 이상의 작업이 진행되지 않고, 안착상태 수정작업이 이루어진다.
그리고 안착상태 수정작업이 수행된 후 안착감지센서(220)가 카세트(130)의 안착여부가 정상적이라면 이후 다음 공정이 수행된다.
한편, 공정 진행중 어떠한 이유에서라도 미쳐 웨이퍼(140)가 모두 저장되지 않은 카세트(130)가 로드포트(200)로 이송되거나 또는 카세트(130)에 웨이퍼(140)가 저장되어 있지 않은 카세트(130)가 로드포트(200)로 이송될 수 있을 것이다.
즉, 웨이퍼(140)가 저장되지 않는 카세트(130)가 로드포트(200)로 이송되더라도 로드포트(200)에 카세트(130)의 안착상태가 정상이라고 판단되면 그 다음 작업공정은 웨이퍼(140)의 저장유무와 관계없이 이루어지게 된다.
따라서 이러한 공정상의 문제는 안착감지센서(220)를 무게감지센서로 구비시키고, 장치의 제어에서 미리 빈 카세트(130)의 값과, 웨이퍼(140)가 저장된 카세트(130)의 값을 입력하여 이에 따른 비교값으로 카세트(130) 내부에 웨이퍼의 저장유무를 판별할 수 있도록 함으로써 공정상의 오류가 발생하는 것을 방지할 수 있을 것이다.
또한 웨이퍼(140)가 안착된 숫자에 따른 무게값을 함께 입력시켜놓으면, 안착감지센서(220)는 이들 각각에 대한 무게를 비교 검출하여 카세트(130)에 저장된 웨이퍼(140)의 숫자를 정확히 인식할 수 있게 되고, 따라서 보다 정확한 웨이퍼 가공 공정이 이루어질 수 있도록 할 수 있다.
전술한 바와 같이 본 발명에 따른 반도체 제조장치에서의 로드포트(200)는 로드포트(200)의 안착돌기(210)에 안착감지센서(220)를 함께 설치하여 안착돌기(210)에 카세트(130)의 안착홈(132)이 끼워지면 동시에 카세트(130)의 안착상태를 감지할 수 있도록 한다는 것이며, 이에 더하여 안착감지센서(220)를 무게감지센서로 구현하여 보다 정확하고 신뢰성 높은 웨이퍼 가공 공정이 이루어질 수 있도록 한다는 것이다.
그러므로, 기본적으로 본 발명에서와 같이 안착감지센서(220)를안착돌기(210)와 일체로 구현한 다른 실시예들이 안착감지센서(220)의 종류와 그 제어방법 그리고 안착돌기(210)에 대한 안착감지센서(220)의 설치상태를 일부 변형시키더라도 모두 본 발명의 기술적 범주에 포함된다고 보아야 한다.
이상과 같은 본 발명에 따른 반도체 제조장치의 로드포트는 로드포트에 설치된 안착돌기에 안착감지센서를 일체로 설치함으로써 보다 정확한 카세트 안착유무를 감지할 수 있도록 하고, 또한 감지된 카세트의 무게에 따라 카세트 내부의 웨이퍼 저장상태를 판별할 수 있도록 함으로써 보다 신뢰성 높은 반도체 제조장치의 운용이 가능하도록 하는 효과가 있다.

Claims (3)

  1. 내부에 웨이퍼가 저장되되 저면에 적어도 하나 이상의 안착홈이 형성된 카세트;
    내부에 상기 카세트로부터 웨이퍼를 이송시키는 로봇이 설치된 챔버;
    상기 챔버의 외측에 장착되되 상기 카세트가 안착되는 로드포트;
    상기 로드포트 상면에 설치되되 상기 카세트가 상기 로드포트 상면에 안착되면 상기 안착홈에 끼워지도록 된 적어도 하나 이상의 안착돌기;
    상기 안착돌기에 장착되되 상기 안착돌기가 상기 안착홈에 끼워지면 상기 카세트의 안착여부를 인식하게 되는 안착감지수단을 구비한 것을 특징으로 하는 반도체 제조장치.
  2. 제 1항에 있어서, 상기 안착감지수단은 상기 안착돌기를 관통하여 상기 안착돌기의 상단부에 노출 설치된 포토센서인 것을 특징으로 하는 반도체 제조장치.
  3. 제 1항에 있어서, 상기 안착감지수단은 상기 안착돌기를 관통하여 상기 안착돌기의 상단부에 돌출 형성되도록 설치된 무게감지센서인 것을 특징으로 하는 반도체 제조장치.
KR10-2001-0009045A 2001-02-22 2001-02-22 반도체 제조장치의 로드포트 KR100410991B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR10-2001-0009045A KR100410991B1 (ko) 2001-02-22 2001-02-22 반도체 제조장치의 로드포트
US10/079,262 US6755221B2 (en) 2001-02-22 2002-02-21 Load port of a semiconductor manufacturing apparatus having integrated kinematic coupling pins and sensors, and method of loading wafers using the same
US10/702,142 US20040072376A1 (en) 2001-02-22 2003-11-06 Load port of a semiconductor manufacturing apparatus having integrated kinematic coupling pins and sensors, and method of loading wafers using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2001-0009045A KR100410991B1 (ko) 2001-02-22 2001-02-22 반도체 제조장치의 로드포트

Publications (2)

Publication Number Publication Date
KR20020068779A true KR20020068779A (ko) 2002-08-28
KR100410991B1 KR100410991B1 (ko) 2003-12-18

Family

ID=19706138

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0009045A KR100410991B1 (ko) 2001-02-22 2001-02-22 반도체 제조장치의 로드포트

Country Status (2)

Country Link
US (2) US6755221B2 (ko)
KR (1) KR100410991B1 (ko)

Families Citing this family (334)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6716651B2 (en) * 2002-04-25 2004-04-06 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for identifying a wafer cassette
US6938505B2 (en) * 2002-08-13 2005-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber wafer detection
US7221993B2 (en) * 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
US7778721B2 (en) * 2003-01-27 2010-08-17 Applied Materials, Inc. Small lot size lithography bays
US20040225399A1 (en) * 2003-04-28 2004-11-11 Kuo-Lang Chen Wafer assessment apparatus for a single wafer machine and method thereof
US7189291B2 (en) * 2003-06-02 2007-03-13 Entegris, Inc. Method for the removal of airborne molecular contaminants using oxygen gas mixtures
US20050105997A1 (en) * 2003-09-11 2005-05-19 Englhardt Eric A. Methods and apparatus for carriers suitable for use in high-speed/high-acceleration transport systems
US7720557B2 (en) * 2003-11-06 2010-05-18 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
US7218983B2 (en) * 2003-11-06 2007-05-15 Applied Materials, Inc. Method and apparatus for integrating large and small lot electronic device fabrication facilities
US20050209721A1 (en) * 2003-11-06 2005-09-22 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
US7230702B2 (en) * 2003-11-13 2007-06-12 Applied Materials, Inc. Monitoring of smart pin transition timing
TW200524073A (en) * 2003-11-13 2005-07-16 Applied Materials Inc Kinematic pin with shear member and substrate carrier for use therewith
US7274971B2 (en) 2004-02-28 2007-09-25 Applied Materials, Inc. Methods and apparatus for electronic device manufacturing system monitoring and control
US7413069B2 (en) * 2004-02-28 2008-08-19 Applied Materials, Inc. Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
TWI316044B (en) 2004-02-28 2009-10-21 Applied Materials Inc Methods and apparatus for material control system interface
JP2008512855A (ja) * 2004-09-04 2008-04-24 アプライド マテリアルズ インコーポレイテッド 高さを減じた基板キャリア
KR101079487B1 (ko) * 2005-01-28 2011-11-03 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 핸들러의 향상된 동작을 위한 방법 및 장치
US10651063B2 (en) 2005-06-18 2020-05-12 Frederick A. Flitsch Methods of prototyping and manufacturing with cleanspace fabricators
US9339900B2 (en) * 2005-08-18 2016-05-17 Futrfab, Inc. Apparatus to support a cleanspace fabricator
US9159592B2 (en) 2005-06-18 2015-10-13 Futrfab, Inc. Method and apparatus for an automated tool handling system for a multilevel cleanspace fabricator
US11024527B2 (en) 2005-06-18 2021-06-01 Frederick A. Flitsch Methods and apparatus for novel fabricators with Cleanspace
US9059227B2 (en) 2005-06-18 2015-06-16 Futrfab, Inc. Methods and apparatus for vertically orienting substrate processing tools in a clean space
US9457442B2 (en) 2005-06-18 2016-10-04 Futrfab, Inc. Method and apparatus to support process tool modules in a cleanspace fabricator
US10627809B2 (en) 2005-06-18 2020-04-21 Frederick A. Flitsch Multilevel fabricators
KR20080034492A (ko) * 2005-08-03 2008-04-21 엔테그리스, 아이엔씨. 이송 용기
WO2007061604A2 (en) * 2005-11-21 2007-05-31 Applied Materials, Inc. Apparatus and methods for a substrate carrier having an inflatable seal
US20070141280A1 (en) * 2005-12-16 2007-06-21 Applied Materials, Inc. Substrate carrier having an interior lining
US20070144118A1 (en) * 2005-12-22 2007-06-28 Alvarez Daniel Jr Purging of a wafer conveyance container
NL1036673A1 (nl) * 2008-04-09 2009-10-12 Asml Holding Nv Robot Position Calibration Tool (RPCT).
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011187539A (ja) * 2010-03-05 2011-09-22 Sinfonia Technology Co Ltd ガス注入装置、ガス排出装置、ガス注入方法及びガス排出方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6903944B2 (ja) * 2017-02-27 2021-07-14 富士フイルムビジネスイノベーション株式会社 情報処理装置、印刷システムおよびプログラム
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10325796B2 (en) * 2017-10-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and system for detecting wafer damage
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP7363066B2 (ja) * 2019-03-18 2023-10-18 Tdk株式会社 ロードポート装置および容器の載置方法
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112378354B (zh) * 2020-11-13 2022-09-30 广州粤芯半导体技术有限公司 一种位置检测传感器、晶圆盒位置检测装置及方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI760143B (zh) * 2021-03-12 2022-04-01 元啓精密科技股份有限公司 半導體自動化物流傳送系統之儲存器減震裝置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11935777B2 (en) * 2021-12-01 2024-03-19 STATS ChipPAC Pte Ltd. Semiconductor manufacturing equipment and method of providing support base with filling material disposed into openings in semiconductor wafer for support

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01187940A (ja) * 1988-01-22 1989-07-27 Nec Corp 半導体ウェハのカセット
JPH07106407A (ja) * 1993-09-30 1995-04-21 Sony Corp キヤリア及びキヤリア搬送装置
KR960025434U (ko) * 1994-12-29 1996-07-22 웨이퍼 캐리어
KR970018335A (ko) * 1995-09-28 1997-04-30 김광호 반도체 제조용 로더 스테이션의 카세트 안착불량 검출장치
JPH09107019A (ja) * 1995-10-11 1997-04-22 Tokyo Electron Ltd 被載置体の位置決め機構
US5844683A (en) * 1996-05-22 1998-12-01 Applied Materials, Inc. Position sensor system for substrate holders
US6082949A (en) * 1996-10-11 2000-07-04 Asyst Technologies, Inc. Load port opener
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6068668A (en) * 1997-03-31 2000-05-30 Motorola, Inc. Process for forming a semiconductor device
US6390754B2 (en) * 1997-05-21 2002-05-21 Tokyo Electron Limited Wafer processing apparatus, method of operating the same and wafer detecting system
EP1049641A4 (en) * 1998-01-16 2004-10-13 Pri Automation Inc CASSETTE POSITIONING AND DETECTION SYSTEM FOR SEMICONDUCTOR WAFER
DE19806852C1 (de) * 1998-02-19 1999-08-12 Jenoptik Jena Gmbh Sicherheitsvorrichtung für ein Transportsystem
US5988233A (en) * 1998-03-27 1999-11-23 Asyst Technologies, Inc. Evacuation-driven SMIF pod purge system
US6398032B2 (en) * 1998-05-05 2002-06-04 Asyst Technologies, Inc. SMIF pod including independently supported wafer cassette
US6281516B1 (en) * 1998-07-13 2001-08-28 Newport Corporation FIMS transport box load interface
US6261044B1 (en) * 1998-08-06 2001-07-17 Asyst Technologies, Inc. Pod to port door retention and evacuation system
WO2000051921A1 (en) * 1999-03-05 2000-09-08 Pri Automation, Inc. Material handling and transport system and process
US6413356B1 (en) * 2000-05-02 2002-07-02 Applied Materials, Inc. Substrate loader for a semiconductor processing system
US6419438B1 (en) * 2000-11-28 2002-07-16 Asyst Technologies, Inc. FIMS interface without alignment pins
US6573522B2 (en) * 2001-06-27 2003-06-03 Applied Matrials, Inc. Locator pin integrated with sensor for detecting semiconductor substrate carrier
US6745901B2 (en) * 2001-10-12 2004-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer cassette equipped with piezoelectric sensors
US6715978B2 (en) * 2002-04-22 2004-04-06 Taiwan Semiconductor Manufacturing Co., Ltd Interbay transfer interface between an automated material handling system and a stocker
US20040120797A1 (en) * 2002-12-19 2004-06-24 Texas Instruments Incorpprated Method and system for eliminating wafer protrusion

Also Published As

Publication number Publication date
KR100410991B1 (ko) 2003-12-18
US6755221B2 (en) 2004-06-29
US20040072376A1 (en) 2004-04-15
US20020114684A1 (en) 2002-08-22

Similar Documents

Publication Publication Date Title
KR100410991B1 (ko) 반도체 제조장치의 로드포트
US6934606B1 (en) Automatic calibration of a wafer-handling robot
US20210249292A1 (en) Load port module
US20030001116A1 (en) Locator pin integrated with sensor for detecting semiconductor substrate carrier
KR100855877B1 (ko) 기판 처리 장치 및 그 장치에서의 기판 정렬 방법
KR102586784B1 (ko) 반송 검지 방법 및 기판 처리 장치
US20010014271A1 (en) Including a transfer arm
US7074000B2 (en) Method and apparatus for undocking substrate pod with door status check
KR20070070435A (ko) 기판 이송 장치
KR20100059484A (ko) 기판 이송 장치, 이를 갖는 기판 처리 시스템 및 기판 이송방법
KR20070017786A (ko) 로딩 감지장치를 포함하는 반도체 제조장치
KR20070018358A (ko) 물체감지기능을 갖는 게이트 밸브를 구비한 반도체제조장치
KR20070088089A (ko) 반도체 웨이퍼의 이송 장치 및 이를 이용한 웨이퍼의 이송방법
KR20050045339A (ko) 웨이퍼 이송장치
KR20050051208A (ko) 웨이퍼 워크아웃 감지장치
JPH09115988A (ja) カセット検知方法及びその装置
KR20040100294A (ko) 정면 개구 통합형 포드 로딩 장치
KR20020016072A (ko) 반도체 제조 설비의 로드락 챔버
KR100829359B1 (ko) 로드락 챔버에 설치된 카세트 낙하 방지구조
KR100274598B1 (ko) 로드락챔버의웨이퍼감지장치
KR20040054054A (ko) 반도체 제조설비의 카세트용 인덱스
KR20040065586A (ko) 웨이퍼 로딩 장치
KR20050101722A (ko) 반도체 제조장치
KR20060072571A (ko) 로드락 챔버 및 이를 포함하는 반도체 소자 제조용 장비
KR20060078838A (ko) 카세트커버의 웨이퍼 돌출 감지장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121130

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee